首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 46 毫秒
1.
基于SOPC的视频信号发生器   总被引:1,自引:0,他引:1  
详细阐述一种新颖的基于SOPC技术的视频发生器方案.介绍了利用SOPC技术开发流程以及视频编码芯片SAA7121的原理及应用.还针对数字电视信号的输出设计了一个专用模块,使得此方案既能产生模拟视频信号,又可输出MPEG-2传输流信号.  相似文献   

2.
提出一种基于FPGA的实时视频信号处理平台的设计方法,该系统接收低帧率数字YCbCr视频信号,对接收的视频信号进行格式和彩色空间转换、像素和,利用片外SDRAM存储器作为帧缓存且通过时序控制器进行帧率提高,最后通过VGA控制模块对图像信号进行像素放大并在VGA显示器上实时显示。整个设计使用Verilog HDL语言实现,采用Altera公司的EP2S60F1020C3N芯片作为核心器件并对功能进行了验证。  相似文献   

3.
基于FPGA的乐曲发生器设计   总被引:1,自引:0,他引:1  
介绍了在MAX plus I的EDA软件平台上,一种基于FPGA的乐曲发生器的设计方法.并给出了设计的顶层电路图和底层元件的VHDL源程序。该设计的正确性已通过硬件实验得到验证。  相似文献   

4.
介绍一种应用Nios Ⅱ嵌入式处理器的可编程片上系统(system-on-a programmable-chip,简称SOPC)技术来实现信号发生器的设计方案.该系统以Altera公司的Cyclone系列现场可编程门阵列(FPGA)为数字平台,将微处理器、总线、存储器和I/O接口等硬件设备集中在一片FPGA上,并利用数字调制技术使系统得到了正弦波、方波和三角波等.通过FPGA中双口RAM的数据读写产生波形.再充分利用片上资源,提高系统的精确度、稳定性和抗干扰性能.  相似文献   

5.
阐述了数字电视信号发生器基本原理及基于片上系统SoC(System on Chip)的FPGA具体实现。该系统能产生符合国际标准的18种普遍采用的数字电视测试用图像信号,并提供YPbPr,RGB两种视频数字和模拟输出接口。对FP-GA内部逻辑功能结构及系统的硬件构成进行了详细的说明,用单芯片多配置的方案来降低对主芯片的要求,降低了产品成本,缩短了开发周期。该数字电视信号发生器具有精度高、可靠性高、电路简单、体积小等特点。  相似文献   

6.
赵钱  章鹏  曹允  窦亮 《光电子技术》2017,(4):254-259
提出了一种基于FPGA的非VESA标准视频信号转换显示系统的实现方法。经过视频信号检测、时序编解码、图像缩放和显示控制的处理,实现了非VESA标准视频信号在液晶屏上的显示。经验证,320×256分辨率时序的非标准视频信号,经过乒乓读写操作、双一维线性插值缩放算法的设计,可转换为符合VESA标准的视频信号,在640×480物理分辨率的液晶屏上指定的600×480像素区域进行显示。该方法采用单片FPGA芯片的设计实现,无需外部储存器,设计方法稳定可靠,为其他非标准视频信号的转换显示和图像缩放算法的实现提供了设计依据。  相似文献   

7.
针对专用DDS芯片功能单一的缺点,提出了基于FPGA的DDS信号发生器的设计方案。利用Xilinx公司的ISE完成了系统核心部分数控振荡器的设计,其中波形存储器通过调用IP核实现,方便且集成度高。通过功能模块仿真与最终完整电路测试,表明基于FPGA的DDS信号发生器稳定度高,分辨率高以及转换速度快,而且能够输出任意波形的信号。由于FPGA实现软核处理器,因此可以方便地对DDS进行修改与优化,具有无与伦比的灵活性。  相似文献   

8.
直接数字频率合成(DDS)广泛应用于电信与电子仪器领域,是实现设备全数字化的关键技术。基于Altera的现场可编程门阵列(FPGA)核心板DE0-Nano,结合高性能的THS5615A数模转换芯片,完成了DDS的硬件设计与实现。实测结果表明,对于频率范围在0.1 Hz~7.3 MHz的正弦信号,输出信号的频率精确度优于0.5%,移相范围0°~360°,移相误差约为0.5°,且相位以1°任意步进,具有电路简单,输出波形调整灵活以及性价比高等特点。  相似文献   

9.
分析了DDS技术的基本原理和基本结构,介绍了一种基于FPGA的DDS信号发生器设计方法。以FPGA芯片EP2C35F672C8为核心器件,辅以必要的模拟电路,在Quartus II9.0平台下实现系统设计的综合与仿真。实验测试表明该信号发生器输出的波形具有平滑、稳定度高和相位连续等优点,具有一定的工程实践意义。  相似文献   

10.
提出了一种基于现场可编程门阵列(Field Programmable Gate Array,FPGA)的高精度视频图形阵列(Video Graphics Array,VGA)个性化显示函数信号发生器的整体设计方案.通过对传统信号发生器进行改进,再利用先进的直接数字式频率合成器(Direct Digital Synthesizer,DDS)波形发生理论,获得了较理想的信号输出.本设计充分发挥了FPGA大逻辑门容量、超高精准时钟的特点.在软件编程过程中扩展了VGA个性化显示、参数掉电存储等功能.硬件电路则主要采用超高精准度的DAC902U芯片和7阶的椭圆低通滤波器,以求达到最佳的模拟信号输出效果.  相似文献   

11.
邸兴  张杰 《现代电子技术》2015,(2):69-72,76
为了研究满足工业视频显示应用中需要的便携式非标准时序DVI视频信号。使用STM32生成需要显示的DVI视频数据,通过FSMC接口送入FPGA外挂的SDRAM中,FPGA内部视频信号产生逻辑读取SDRAM中的数据,按照DVI视频的时序产生满足要求的RGB并行视频数,送入DVI视频信号编码芯片产生标准的TMDS串行DVI视频流。完成了基于FPGA的非标准视频信号发生器硬件电路设计,产生可以用作工业视频信号源的DVI视频信号。以较小的板卡体积和设备功耗,为便携式非标准工业DVI视频应用提供了一种新的解决方案。  相似文献   

12.
《现代电子技术》2016,(13):72-76
为了获得适用于光纤传感及光纤通信系统的各种调制及驱动信号,提出了一种基于FPGA的多用途信号发生器的设计方案。以FPGA器件为硬件平台,应用分频技术和DDS技术产生任意中低频信号并能同时输出一种脉冲信号和一种DDS信号及直流信号。脉冲信号的脉冲宽度和重复频率均可键控调节,其最小脉宽可达8 ns,且其脉宽偏差小于0.5 ns,重复频率为0.05 Hz~100 MHz可调;DDS信号的输出频率范围为0.058 2 Hz~100 k Hz,其频率分辨率可达0.058 2 Hz。实验结果表明,该信号发生器产生的各种信号稳定性好、精度高且适用于多种场合。  相似文献   

13.
基于FPGA的线性调频信号产生器设计   总被引:3,自引:0,他引:3  
徐春香  刘军 《电子测试》2009,(10):49-52,72
线性调频信号是雷达系统广泛应用的一种信号,通过脉冲压缩处理,可以得到良好的距离分辨率和径向速度分辨率。本文在研究DDS原理的基础上,给出了一种基于FPGA技术的线性调频信号产生器的设计方案,并利用Ahera公司的cyclone Ⅱ系列芯片和QuartusⅡ开发软件对设计进行了仿真验证。采用FPGA技术可以方便地通过修改编程参数,对线性调频信号的起始频率、带宽、频率分辨率进行修改。仿真结果表明,该设计能够产生符合要求的线性调频信号,并且具有结构简单、集成度高、易于修改等特点。  相似文献   

14.
郑黄婷  赖万昌  毛伟 《电子设计工程》2012,20(24):153-154,158
设计采用Altera公司CycloneII系列EP2C5Q208作为核心器件,采用直接数字频率合成技术实现了一个频率、相位可控的基本信号发生器。该信号发生器可以产生正弦波、方波、三角波和锯齿波四种波形。仿真及硬件验证的结果表明,该信号发生器精度高,抗干扰性好,此设计方案具有一定的实用性。  相似文献   

15.
16.
基于FPGA的DDS基本信号发生器的设计   总被引:1,自引:0,他引:1  
本设计基于DDS原理和FPGA技术按照顺序存储方式,将对正弦波、方波、三角波、锯齿波四种波形的取样数据依次全部存储在ROM波形表里,通过外接设备拨扭开关和键盘控制所需波形信号的输出,最终将波形信息显示在LCD液晶显示屏上。各硬件模块之间的协调工作通过嵌入式软核处理器NiosⅡ用编程实现控制。本设计所搭建的LCD12864控制器是通过编程实现的IP核。  相似文献   

17.
基于FPGA的幅值可调信号发生器设计   总被引:3,自引:0,他引:3  
张有志  张鹍 《电子设计工程》2011,19(9):115-117,120
针对信号发生器对输出频率精度高和幅值可调的要求,采用直接数字频率合成(DDS)技术,提出一种基于FP-GA的幅值、频率均可调的、高分辨率、高稳定度的信号发生器设计方案。采用AT89S52单片机为控制器,控制FPGA产生波形的数字信号,结合双数模(D/A)转换器及低通滤波器,最终实现输出信号幅值0~5 V可调,分辨率为10 bits;频率范围1 Hz~10 MHz可调,最小分辨率为1 Hz;频率稳定度优于10-4。信号参数可通过键盘进行设置,并在LCD上输出。由于FPGA的可编程性,易于对系统进行升级和优化。  相似文献   

18.
张洋 《电子测试》2020,(5):16-18
正弦信号发生器作为最基本的电子设备,广泛应用于航空航天控制、通信、电子测量、研究等等。本文介绍了基于FPGA技术,根据正弦信号移相原理,利用matlab/simlink/DSP Builder搭建移相正弦信号模型,采用直接数字频率合成技术(DDS),设计实现了一个频率、相位可控的正弦信号发生器。采用此方法设计的数控移相正弦信号发生器能够产生频率、相位均可数字式预置并可调节的正弦波信号,该数字移相信号发生器的频率、相位、幅度均可预置,分辨率高,精确可调,且可分别用作两路独立的信号发生器使用。采用这种方法设计可控移相信号发生器方便快捷,提高了开发效率,缩短研发周期,而且系统的调试方便,容易修改。  相似文献   

19.
FPGA的可编程属性使得其在通信系统设计中使用越来越频繁,文章采用DDFS算法技术,以模拟电路为基础架构,完成了一个多种波形输出、高精度的数字信号发生器设计。且设计了以单片机加LCD、按键为输入控制及实时显示的最小系统,可以手动输入选择输出如方波、正弦波及三角波等任意频率可变的信号。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号