首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 109 毫秒
1.
光刻是制备碲镉汞红外探测器芯片过程中非常关键的工艺。目前绝大部分碲镉汞芯片制备都是使用接触式光刻技术,但是在曝光面型起伏较大的芯片时工艺均匀性较差,并且掩膜在与芯片接触时容易损伤芯片。针对接触式光刻的这些缺点,利用尼康公司生产的缩小步进投影光刻机开发了用于碲镉汞芯片的步进式投影曝光工艺。对设备的硬件和软件均进行了小幅修改和设置,使其适用于碲镉汞芯片。经过调试后,缩小步进投影光刻机在某些面型起伏较大的芯片上取得了更好的曝光效果,光刻图形的一致性得到了提升。实验结果表明,缩小步进投影光刻技术能够提高碲镉汞芯片的光刻质量,并在一定程度上改善了芯片制备工艺。  相似文献   

2.
1982年,IBM公司的研究者首次报道将激励激光器用于半导体光刻。从此,激励激光器进入了用于接触曝光和掩模制造等的商品系统中。然而,最终的目标是研制激励激光器圆片步进光刻机,因为步进光刻机是任何半导体厂的骨干设备。1986年,AT&T Bell实验室报道了激励激光器步进光刻机,打那以后,许多不同的工艺实验室和步进光刻机生产厂公开了他们关于类似计划方面的进展。若干光刻设备制造厂开始接受激励步进光刻机的定单,但是激励激光器在光刻中的前途并不见得比以往更为光明。  相似文献   

3.
从设备设计者及使用者的角度,详细描述了影响先进封装步进投影光刻机生产效率的主要因素,并基于灵敏度分析给出了产率优化方法,综合曝光性能分析给出了产率平衡策略。实测数据表明,SSB500系列光刻机达到了良好的产率性能,最高产率超过90片/h,适应厚胶大剂量曝光要求,能够满足后道FAB的生产线要求。  相似文献   

4.
基于模糊PID控制理论,介绍了步进扫描光刻机中工件台同步扫描的控制方法,并简要介绍了相关的控制理论基础知识,详细阐述了模糊控制器的制作过程和模糊规则的制定方法。另外针对同步扫描控制的难点,简要介绍了步进扫描光刻机的同步扫描过程,而且建立了同步运动的执行器直线电机的仿真模型。采用模糊PID理论对同步扫描系统进行控制策略研究,仿真实验表明,硅片台稳态误差及掩膜台稳态误差能够快速衰减达到稳定状态,而同步误差的精度也能达到微米级别。这种方案具有较好的动态特性及鲁棒性,同步误差较小,有望满足高精度同步扫描的要求。  相似文献   

5.
本文主要参照1:1投影光刻机和10:1缩小片子步进机混合光刻中的套刻图形的差异,研究了这两种机器的特征图形位置误差。分析样品采用近年来由那些高度复杂的光刻机的用户所研制成,专用于精确鉴定大量元件的图形与图形之间的套准误差。通过使用这些样品和数据,就可以看出怎样使这两种类型的曝光设备能够成功地用于经济而有效地制作苛刻图形的器件。对于现今的高密度器件的制造来说,采用一次性全片对准的片子步进机与1:1投影光刻机的混合曝光方法是完全可以胜任的。并研究出了一个用于10:1片子步进机,1:1投影光刻机,以及用于这两种设备混合曝光的实际生产套刻值的预算表。  相似文献   

6.
PREVAIL--下一代电子束投影曝光技术   总被引:1,自引:0,他引:1  
PREVAIL作为下一代电子束投影曝光技术,采用可变轴浸没透镜,对以硅为支架的碳化硅薄膜进行投影微缩曝光。在1mm^2子场的情况下,运用步进扫描曝光方式,在100nm临界尺寸下具有较高的产量,也EUVL技术一起成为下一代曝光技术的有力竞争者。  相似文献   

7.
先进封装步进投影光刻机焦深研究   总被引:1,自引:0,他引:1  
对先进封装步进投影光刻机的焦深进行评估.投影光刻机是IC制造与先进封装行业的关键设备,对后道封装而言,厚胶工艺是典型工艺,最厚部分可达0.1mm以上,大焦深能够保证光刻机容纳各种工艺误差,能够保证封装光刻机用于曝光厚光刻胶时仍拥有较好的侧壁陡度.  相似文献   

8.
提出了一种全新的移相掩模--侧墙铬衰减型移相掩模(SCAPSM),相对于通常的衰减型移相掩模,其制造工艺仅多两步,却可以较大幅度提高光刻分辨率.采用PROLITH光学光刻模拟软件,参考ArF步进扫描投影光刻机TWINSCAN XT:1400E的曝光参数,对侧墙铬衰减型移相掩模的工艺进行了研究,证明SCAPSM 离轴照明的方案可以将干式193nm光学光刻的分辨率提高到50nm.  相似文献   

9.
提出了一种全新的移相掩模--侧墙铬衰减型移相掩模(SCAPSM),相对于通常的衰减型移相掩模,其制造工艺仅多两步,却可以较大幅度提高光刻分辨率.采用PROLITH光学光刻模拟软件,参考ArF步进扫描投影光刻机TWINSCAN XT:1400E的曝光参数,对侧墙铬衰减型移相掩模的工艺进行了研究,证明SCAPSM+离轴照明的方案可以将干式193nm光学光刻的分辨率提高到50nm.  相似文献   

10.
提出了一种全新的移相掩模--侧墙铬衰减型移相掩模(SCAPSM) ,相对于通常的衰减型移相掩模,其制造工艺仅多两步,却可以较大幅度提高光刻分辨率. 采用PROLITH光学光刻模拟软件,参考ArF步进扫描投影光刻机TWINSCAN XT:1400E的曝光参数,对侧墙铬衰减型移相掩模的工艺进行了研究,证明SCAPSM+离轴照明的方案可以将干式193nm光学光刻的分辨率提高到50nm.  相似文献   

11.
步进扫描投影光刻机工件台和掩模台的进展   总被引:11,自引:0,他引:11  
着重介绍了当前国外步进扫描投影光刻机的工件台和掩模台的发展状况,并对套刻精度和整机精度进行了分析。  相似文献   

12.
非光学下一代光刻技术的缓慢进展和国际半导体技术发展规划 (ITRS)的加速 ,使光学光刻肩负着IC产业的重任 ,进一步向亚波长图形领域进军。为此 ,人们开发了大量的光学光刻扩展技术。其中包括传统的缩短波长和增大数值孔径 ,以及为了扩展最小间距线间图形的分辨力而提高部分相干性。通过这些途径 ,在 1 93nm曝光中实现了 >0 .80的数值孔径和0 .85的部分相干性 ,并将进一步向 1 57nm乃止 1 2 6nm过渡。此间 ,离轴照明 (OAI)、移相掩模(PSM)和光学邻近效应校正 (OPC)等K1因子将作为分辨力提高技术的核心 ,补充到光学光刻技术范畴。此外 ,光学光刻的扩展还将通过像场尺寸缩小和倍率增大的方法使步进扫描光刻机更好地支持并可望进入至少 70nm的技术节点 ,乃至 50nm的下一代光刻。  相似文献   

13.
A proximity-effect correction method for VLSI patterns has been developed. In this method, a dose ratio has been introduced as a control parameter for the negative- resist thickness after development, in addition to the proximity parameters.A new technique has been used to obtain the proximity parameters. By using the dose ratio and the proximity parameters, both the exposure dose and the size of the irradiated shape are easily determined.A pattern accuracy of ±0.1 μm and a uniform resist of the desired thickness were obtained. The computation time is proportional to 1.2 power of pattern density, and is 100 seconds on a 1.5-MIPS computer when correcting for 104 shapes in a pattern whose pattern density is 104.  相似文献   

14.
张锦  冯伯儒  郭永康  刘娟 《应用激光》2005,25(5):327-328
将涂有光致抗蚀剂的硅片或其它光敏材料置于由多束相干光以某种方式组合构成的干涉场中,可以在大视场和深曝光场内形成孔、点或锥阵周期图形,光学系统简单廉价,不需掩模和高精度大NA光刻物镜,采用现行抗蚀剂工艺。文中介绍的双光束双曝光法得到的阵列图形周期d的极限为dm i n=λ/2,四光束单曝光的周期略大,为前者的2倍,三光束单曝光得到2/3 d周期的图形,并且图形不受基片在曝光场中位置的影响,适合大面积尺寸器件中周期图形的制作,而三光束双曝光和五光束曝光的结果是周期为2d的阵列图形,并且沿光轴方向光场随空间位置也作周期变化,适合在大纵深尺寸范围内调制物体结构。  相似文献   

15.
This paper reviews the transition from I-Line lithography to DUV lithography for critical levels in manufacturing using feature sizes at or below 350nm.

The process latitude and cost factors for critical level lithography are reviewed. I-Line steppers and catadioptric step-and-scan DUV systems are compared. Feature size shrink to 300nm and 250nm is presented as part of the cost of ownership evaluation.

The performance parameters for I-Line steppers utilizing high numerical aperture, wide field, refractive lens, and high contrast resist employing Phase Shift Mask (PSM) and oblique illumination is compared to DUV step-and-scan technology using chemically amplified resist.

The equipment cost comparison is presented for I-Line steppers and catadioptric step-and-scan systems along with a discussion of the cost impact of the optical design as NA and field size increase.

I-Line with Phase Shift Mask versus DUV is the basis for the cost of ownership calculation using the Sematech model for both DRAM and Logic manufacturing.  相似文献   


16.
热压印刻蚀技术   总被引:5,自引:0,他引:5  
纳米压印刻蚀技术是通过压模的方法实现纳米结构批量复制的。这一技术具有高分辨、高效率和低成本的优点。它与现行的光学刻蚀技术流程相似,具有较好的兼容性与继承性。详细介绍了热压印刻蚀技术的核心工艺步骤:压印模板的制备、热压印胶的选择、压模和撤模、反应离子刻蚀以及热压印过程中的聚合物流动机理,探讨了热压印刻蚀技术中的基础科学问题。还分析了纳米压印刻蚀技术的研究现状,展望了纳米压印刻蚀技术的应用前景。  相似文献   

17.
Double-dipole lithography (DDL) uses two orthogonal dipole illuminations and one or two masks to print the desired wafer pattern. The main challenge of using such IC-manufacturing technique remains how to properly synthesize the proper mask patterns for the arbitrarily given target pattern. This paper presents a gradient-based inverse lithography technology (ILT) addressing the problem above. This approach properly models the partially coherent imaging system by employing the double-dipole lithography, and then uses the steepest descent method to automatically synthesize the masks required to print the desired wafer pattern. We also present results for various kinds of masks for printing 45-nm critical dimension (CD) features. The results show that our algorithm automatically generates the synthesized masks and that the synthesized masks reduce the pattern distortion error (PDE) by 85-90%. The comparison with a single-exposure case indicates a superior improvement.  相似文献   

18.
电子束曝光中的邻近效应修正技术   总被引:6,自引:2,他引:6  
邻近效应是指电子在抗蚀剂和基片中的散射引起图形的改变,它严重地影响了图形的分辨率。有多种方法对邻近效应进行修正和剂量调整、图形调整等。我们以JBX-5000LS为手段,用三种方法:1.图形尺寸修正,12大小图分类和剂量分配,3图形分层和大小电流混合曝光,对邻近效应进行了修正,均取得较好效果。  相似文献   

19.
scan exposure model and analyzing the pulse-to-pulse energy fluctuation characteristics of DUV excimer lasers, a real-time dose regulation is implemented based on closed-loop feedback control, which especially focuses on reducing the effect of pulse energy overshot and pulse-to-pulse stochastic fluctuation. The experiment conducted on an ArF excimer laser with wavelength of 193 nm, repetition rate of 4 kHz, and pulse energy of 5 mJ confirms that such a real-time dose control algorithm is able to achieve a dose accuracy of above 0.89% even with only 20 pulses. It is fully expected that this algorithm will not only meet increasingly stringent dose accuracy requirements for sub-half-micron lithography, but also be helpful to improve lithography throughput as well as efficiency.  相似文献   

20.
电子束重复增量扫描生成三维结构的研究   总被引:2,自引:0,他引:2  
针对三维曝光图形的结构特点,结合自行设计的图形发生器,提出了电子束重复增量扫描方式及曝光剂量与刻蚀深度关系和灵敏度的计算方法.根据计算得到的剂量关系,按照重复增量扫描方式,在SDS-3电子束曝光机上进行了曝光实验,显影后得到了轮廓清晰的梯锥和圆锥的三维结构.因此,重复增量扫描方式可以用于三维结构的加工,并且关于曝光剂量与刻蚀深度关系和灵敏度的计算可以为其提供符合实际曝光的参数.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号