首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Si, Ge, and Si1−x Gex epitaxial layers and Si/Si1−x Gex superlattices have been obtained on (100) and (111) silicon substrates by molecular-beam epitaxy. The growth processes and the structural characteristics and chemical composition of the structures were studied by x-ray diffraction and Auger spectroscopy. It is shown that under the experimental conditions for obtaining Si/Si1−x Gex superlattices structurally perfect, strained superlattices with satellites up to ±5 orders can be obtained. Fiz. Tekh. Poluprovodn. 31, 922–925 (August 1997)  相似文献   

2.
We demonstrate ultra-thin (<150 nm) Si1−x Ge x dislocation blocking layers on Si substrates used for the fabrication of tensile-strained Si N channel metal oxide semiconductor (NMOS) and Ge P channel metal oxide semiconductor (PMOS) devices. These layers were grown using ultra high vacuum chemical vapor deposition (UHVCVD). The Ge mole fraction was varied in rapid, but distinct steps during the epitaxial layer growth. This results in several Si1−x Ge x interfaces in the epitaxially grown material with significant strain fields at these interfaces. The strain fields enable a dislocation blocking mechanism at the Si1−x Ge x interfaces on which we were able to deposit very smooth, atomically flat, tensile-strained Si and relaxed Ge layers for the fabrication of high mobility N and P channel metal oxide semiconductor (MOS) devices, respectively. Both N and P channel metal oxide semiconductor field effect transister (MOSFETs) were successfully fabricated using high-k dielectric and metal gates on these layers, demonstrating that this technique of using ultra-thin dislocation blocking layers might be ideal for incorporating high mobility channel materials in a conventional CMOS process.  相似文献   

3.
Strained-layer SiGex-on-Si heteroepitaxy has been achieved by photolytic decomposition of disilane (Si2H6) and digermane (G e2H6) in an ultra high vacuum (UHV) chamber at substrate temperatures as low as 275°C. An ArF excimer laser (193 nm) shining parallel to the Si substrate was used as the UV light source to avoid surface damage and substrate heating. The partial pressures of the source gases in the reactor were chosen to vary the Ge mole fraction x from 0.06 to 0.5 in the alloy. The Si2H6 partial pressure was kept at 10 mTorr and the Ge2H6 partial pressure was varied from 0.13 to 2 mTorr with the laser intensity fixed at 2.75 × 1015 photons/cm2·pulse. To fit the Si1−xGex growth rate and Ge mole fraction data, the absorption cross section of Ge2H6 at 193 nm was set to 1 × 10−16 cm2, which is 30 times larger than that of Si2H6 (3.4 × 10−18 cm2). For Si1−xGex alloy growth, the deposition rate of Si increases with Ge mole fraction, resulting in increased Si1−xGex alloy growth rates for higher Ge content. The increase of the Si growth rate was attributed to the enhanced adsorption rate of Si2H6 pyrolytically in the presence of Ge, rather than due to photolytic decomposition reaction. The Ge mole fraction in Si1−xGex alloys can be predicted by a new model for Si and Ge pyrolytic and photolytic growth. The model describes the increased growth rate of Si1−xGex alloys due to a Ge2H6 catalytic effect during photo-enhanced chemical vapor deposition.  相似文献   

4.
Diodes have been fabricated in layers of Si1−x Ge x and silicon deposited selectively on patterned wafers, and the electrical characteristics of the diodes have been examined. For 50 nm thick Si1−x Ge x layers containing about 22% Ge, the forward characteristics of larger diodes are nearly ideal. However, the reverse leakage current is higher when the edges of the diode intersect the oxide defining the selectively deposited layers than when the diode edges are separated from this oxide. The diode characteristics are more ideal when the diode edges are aligned along the [100] directions than when aligned along the [110] directions. Higher-temperature hydrogen pre-treatments before epitaxial deposition can degrade the diode characteristics.  相似文献   

5.
The fabrication of 4 in, relaxed Si1−xGex-on-insulator (SGOI) substrates by layer transfer was demonstrated. A high-quality relaxed Si1−xGex layer was grown using ultrahigh vacuum chemical vapor deposition (UHVCVD) on 4 in. Si donor wafers. Thin Si−xGex film (x=0.2 or 0.25) was then transferred onto an oxidized Si handle wafer by bonding and wafer splitting using hydrogen implantation. The resulting relaxed SGOI structures were characterized by transmission electron microscopy (TEM) and atomic force microscopy (AFM).  相似文献   

6.
In this work, remote plasma-enhanced chemical vapor deposition (RPCVD) has been used to grow Ge x Si1−x /Si layers on Si(100) substrates at 450° C. The RPCVD technique, unlike conventional plasma CVD, uses an Ar (or He) plasma remote from the substrate to indirectly excite the reactant gases (SiH4 and GeH4) and drive the chemical deposition reactions. In situ reflection high energy electron diffraction, selected area diffraction, and plan-view and cross-sectional transmission electron microscopy (XTEM) were used to confirm the single crystallinity of these heterostructures, and secondary ion mass spectroscopy was used to verify abrupt transitions in the Ge profile. XTEM shows very uniform layer thicknesses in the quantum well structures, suggesting a Frank/ van der Merwe 2-D growth mechanism. The layers were found to be devoid of extended crystal defects such as misfit dislocations, dislocation loops, and stacking faults, within the TEM detection limits (∼105 dislocations/cm2). Ge x Si1−x /Si epitaxial films with various Ge mole fractions were grown, where the Ge contentx is linearly dependent on the GeH4 partial pressure in the gas phase for at leastx = 0 − 0.3. The incorporation rate of Ge from the gas phase was observed to be slightly higher than that of Si (1.3:1).  相似文献   

7.
Interfacial reactions and electrical properties of Hf/p-Si0.85Ge0.15 as a function of the annealing temperature were studied. Hf3(Si1−xGe)2 and Hf(Si1−xGe)2 were initially formed at 500°C and 600°C, respectively. At temperatures above 400°C, Ge segregation out of the reacted layers associated with strain relaxation of the unreacted Si0.85Ge0.15 films appeared. At 780°C, agglomeration occurred in the Hf(Si1−xGex)2 films. All the as-deposited and annealed Hf/p-Si0.85Ge0.15 samples showed the formation of an ohmic contact. The lowest specific contact resistance around 10−5 ω cm2 could be obtained for the Hf3 (Si1−xGex)2 contacts to p-Si0.85Ge0.15 formed at 500°C. Below 500°C, the decrease of specific contact resistance with the annealing temperature is mainly caused by the formation of Hf3(Si1−xGex)2 and an interfacial Ge-rich layer between the Hf3(Si1−xGex)2 and unreacted Si0.85Ge0.15 films, while above 600°C, the increase of specific contact resistance may be due to the formation of Hf(Si1−xGex)2 and SiC as well as the roughness of the Hf(Si1−xGex)2 films.  相似文献   

8.
Relaxed Si1−xGex layers grown by rapid thermal chemical vapor deposition (RTCVD) have been characterized by photoluminescence (PL) spectroscopy. The structures consist of a Si1−xGex capping layer with a 0.32 and 0.52 Ge concentration, grown on a compositionally graded Si1−xGex buffer layer. The effect of the composition grading rate on the layer quality has been intensively studied. Well-resolved near band edge luminescence (excitonic lines with no-phonon and phonon replica similar as in bulk SiGe alloys) coming from the relaxed alloy capping layer and dislocation-related bands (Dl, D2, D3, D4 lines) in the graded buffer layer have been measured. The electronic quality of this relaxed capping layer, controlled by the design of the compositionally graded buffer layer, has been determined by the excitonic photoluminescence. A detailed analysis of the energy of the D4 dislocation band demonstrates that the main misfit dislocations remain confined in the first steps of the graded buffer layer. Si1−xGex layers grown on these pseudo-substrates either under compressive or tensile strain and the well-defined PL results obtained are discussed on the bases of strain symmetrization and of high quality of the layers. This points out the possibility of using such high quality relaxed Si1−xGex layers as substrates for the integration of new devices associated with Si technology.  相似文献   

9.
We have investigated different Si/Si1−xGex hole resonant tunneling structures. We demonstrate the advantages of grading the Ge concentration in the spacer layers, which allows for a smoother potential profile in the spacer layers and a higher Ge concentration in the well, and hence higher bandoffsets. This leads to an improvement of the resonances seen in the I–V characteristics of these devices. Structures grown at different temperatures emphasize the importance of obtaining abrupt Si/Si1−xGex double barrier heterointerfaces in order to obtain good I–V characteristics. Short-term post annealing at ⋟500°C, well below temperatures where strain relaxation or dopant diffusion into the barrier layers occur, is shown to destroy the resonances. We believe this is due to monolayer interdiffusion at the barriers, destroying the abruptness of the interfaces.  相似文献   

10.
Luminescent properties of heteroepitaxial Si1 − x Ge x :Er/Si structures with relaxed heterolayers are studied. The results of combined studies of the excitation spectra and kinetics of photoluminescence (PL) are used to single out the components providing the largest contribution to the PL signal of the Si1 − x Ge x :Er/Si structures in the wavelength region of 1.54 μm. It is shown that relaxation of elastic stresses in the Si1 − x Ge x :Er heterolayer affects only slightly the kinetic characteristics of erbium luminescence and manifests itself in insignificant contribution of the defects and defect-impurity complexes to the luminescent response of the Si1 − x Ge x :Er/Si structures. In the excitation spectra of the erbium PL, special features related to the possibility of the rare-earth impurity excitation at energies lower than the band gap of the Si1 − x Ge x solid solution are revealed. It is shown that a peak the width of which depends on the band gap of the solid solution and the extent of its relaxation is observed in the excitation spectra of the erbium-related PL in the Si1 − x Ge x :Er/Si structures in the wavelength region of 1040–1050 nm. The observed specific features are accounted for by involvement of intermediate levels in the band gap of the Si1 − x Ge x :Er solid solution in the process of excitation of an Er3+ ion.  相似文献   

11.
The semiconductor-rich region of the Si-Ge-Ti ternary isotherm at 900°C was determined by metallography, x-ray diffraction, and electron microprobe analysis. The sample alloys were prepared by arc-melting. These alloys were brought to equilibrium by annealing at 900°C for 400 h. It was confirmed that at 900°C, TiSi2 and TiGe2 form a continuous solid solution Ti(Si1−yGey)2 with the C54 crystal structure. It was also shown that, other than Ti(Si1−yGey)2 and Si1−xGex, there is not any binary or ternary phase within the Si-Ge-TiGe2-TiSi2 trapezoid region. Between the Ti(Si1−yGey)2 and Si1−xGex single-phase fields is the Ti(Si1−yGey)2-Si1−xGex two-phase region. The tie-lines for this two-phase region were determined. The tie-lines tilt slightly toward the TiSi2 and Ge corners. In other words, at equilibrium, the silicon to germanium atomic ratio is larger in Ti(Si1−yGey)2 than in Si1−xGex (x>y). This tendency for tie-lines to tilt toward the TiSi2 and Ge corners had been proposed in the literature as the reason for the interesting microstructure evolution during the reactions between SiGe alloys and Ti. In addition, the possible diffusion paths for the reactions between SiGe alloys and Ti were discussed based on the obtained isotherm. Recognizing Si and Ge have higher mobilities in Ti(Si1−yGey)2, it is predicted that for SiGe the extent of concentration change is large but occurs over a shorter distance, and for TiSi2 the extent of concentration change is small but occurs over a longer distance.  相似文献   

12.
Mashin  A. I.  Nezhdanov  A. V.  Filatov  D. O.  Isakov  M. A.  Shengurov  V. G.  Chalkov  V. Yu.  Denisov  S. A. 《Semiconductors》2010,44(11):1504-1510
The method of confocal Raman microscopy is used for the first time to study the spatial distribution of elemental composition and elastic strains in self-assembled GexSi1 − x /Si(001) islands grown by the method of sublimation molecular-beam epitaxy in the GeH4 ambient. The lines related to vibrational modes Si-Si, Ge-Ge, and Si-Ge are identified in the Raman spectra measured in the regions with dimensions <100 nm on the surface of the samples. The spatial distribution of the Ge atomic fraction x in the Ge x Si1 − x alloy and of the elastic strain ɛ (averaged in depth over the island layer) have been calculated from the maps of the Raman shifts of the corresponding lines over the sample surface. The dependences of x and ɛ on the islands’ growth temperature and on the nominal thickness of the deposited Ge layer have been studied.  相似文献   

13.
We compare both the strain and damage that 100 keV Si irradiation at room temperature introduces in pseudomorphic and relaxed GexSi1−x films grown on Si(100) substrates. The ion range is such that the Si/GexSi1−x interface is not significantly damaged. The amount of damage produced in pseudomorphic and relaxed GexSi1−x layers of similar x for irradiation doses up to 2.5 × 1014 Si/cm2 is the same, which proves that a pre-existing uniform strain does not noticeably affect the irradiation-induced damage. However, the irradiation-induced strain does depend on the pre-existing strain of the samples. Possible interpretations are discussed. On leave from Inst. voor Kern en Stralingsfysika, Catholic University of Leuven, Belgium.  相似文献   

14.
Molecular beam epitaxial growth of pseudomorphic Si1−xGex/Si layers using disilane (Si2H6) and elemental germanium has been studied for the first time. It is found that at a fixed flow rate of Si2H6, the germanium content in the Si1−xGex alloys is a function of the germanium cell temperature. Heterostructures and multi-quantum wells with good surface morphology, excellent crystalline quality, and abrupt interfaces are demonstrated, indicating little or no sourcerelated transient effects.  相似文献   

15.
Electron traps, hole traps, and the dominant recombination-generation (R-G) centers have been investigated with deep level transient spectroscopy and current-voltage/temperature measurements in heteroepitaxial GexSi1-x alloys with x ranging from 0.15 to 1, grown on graded Gey.Si1−y/Si substrates. For all samples with compositions x < 0.85, which retain the Si-like conduction band structure, we detect a dominant electron trap and R-G center whose activation energy is ΔE = 0.5 eV, independent of composition. This energy agrees with that of electron traps previously reported for plastically deformed (PD) Si, suggesting a connection to the Si-like band structure. This 0.5 eV level dominates the reverse leakage current over a wide range of growth and annealing conditions for the 30% Ge samples, indicating that the electronic state at ΔE = 0.5 eV is a very efficient R-G center, as would be expected from its midgap position. Alternatively, for strain relaxed, pure Ge (< 1), we detect electron traps at Ec − 0.42 eV and Ec − 0.28 eV, in agreement with the literature on PD Ge and Ge bicrystals. These energies are significantly different from those observed for x < 0.85, and we conclude that these changes in activation energy are due to changes in the conduction band structure for high Ge content. Moreover, in contrast with the Si-like samples (x < 0.85), the reverse leakage current in the relaxed Ge cap layer is not controlled by deep levels, but is rather dictated by intrinsic, band-to-band generation due to the reduced bandgap of Ge as compared to Si-like alloys. Only for reverse bias magnitudes which incorporate a significant portion of the graded buffer within the depletion region do R-G centers dominate the reverse leakage current. These results confirm the high quality of the strain-relaxed, pure Ge cap region which was grown on a GeySi1−y/Si step graded heterostructure (where y was increased from 0 to 1) by ultra high vacuum chemical vapor deposition. Finally, we report for the first time, what is apparently the dislocation kink site state at Ec − 0.37 eV, in a GexSi1−x alloy.  相似文献   

16.
A thin film of Ge-rich Ge x Si1−x on a (100) Si substrate was synthesized by ion implantation followed by thermal oxidation. Proper oxidation conditions were maintained to produce a film with Ge atomic content of more than 95%, confirmed by both high-resolution Rutherford backscattering spectrometry (RBS) and Raman spectroscopy. The strain state of the Ge-rich thin film is a function of its thickness, as determined by the implantation fluence. The use of Raman spectroscopy to monitor the composition and strain state of the Ge thin film formed is discussed.  相似文献   

17.
An effective compliant substrate was successfully fabricated for growth of high quality relaxed SiGe templates. The compliant substrate was fabricated by synthesizing a 20% B2O3 concentration borosilicate glass in the silicon on insulator wafers through boron and oxygen implantation followed by high temperature annealing. Substrates with 5%, 10% and 20% B2O3 were used for 150 nm Si0.75Ge0.25 epitaxy. Double-axis x-ray diffraction measurements determined the relaxation and composition of the Si1−xGex layers. Cross-sectional transmission electron microscopy was used to observe the lattice of the SiGe epilayer and the Si substrate, dislocation density and distribution. Raman spectros-copy was combined with step etching to measure the samples. For 20% BSG sample, the strain in the thin Si layer was calculated from the Raman shift and it matched the results from DAXRD very well. The density of threading dislocation on the surface of 500 nm Si0.75Ge0.25 layers was 2×104 cm−2 for the sample on the 20% borosilicate glass substrate. This method is promising to prepare effective compliant substrate for low-dislocation relaxed SiGe growth.  相似文献   

18.
Experiments on the diffusion of Si and Ge in Si1-xGex-isotope heterostructures with Ge contents x=0, 0.05, and 0.25 were performed at temperatures between 870 and . The concentration profiles of the stable Si- and Ge-isotopes were recorded by means of time-of-flight secondary ion mass spectrometry. For all compositions, an Arrhenius type temperature dependence of diffusion was observed. The activation enthalpy of Si diffusion in SiGe equals the activation enthalpy of Ge diffusion and the pre-exponential factors agree within experimental accuracy. However, the absolute values of the Si and Ge diffusion coefficients indicate a clear trend. In elemental Si the diffusion coefficients of Si and Ge agree, but the difference between the diffusion coefficients of Ge and Si in Si1-xGex increases with x. This indicates that with increasing Ge content the diffusional jumps of Ge atoms become more successful compared to that of Si. This trend is explained with an increasing contribution of vacancies to self-diffusion in Si1-xGex with an increase of the Ge content x.  相似文献   

19.
We describe and model the electrical response of interface states of metal-oxide semiconductor (MOS) capacitors fabricated from Si1−x−yGexCy strained layers as a function of C concentration. We find that the introduction of Ge and C in the epilayers leads to anomalies in the capacitance-voltage curves in the form of kinks or plateaus. This behavior is explained by the presence of pronounced peaks on the density of interface states in the bandgap. Our results suggest an adequate Ge/C ratio of 40 minimizes the density of interface states. This ratio is different from the ratio of ∼10 required for stress compensation. Finally, we discuss the implications for the introduction of Si1−x−yGexCy strained layers to fabricate MOS devices.  相似文献   

20.
The formation of self-aligned Ti(Si(1−x)Ge(x))2 on submicron lines is described. The silicide/germanide is formed by reacting sputtered Ti with epitaxially grown Si(1−x)Ge(x) of composition and thickness relevant to high mobility Si(1−x)Ge(x) channel field effect transistors. Ti(Si(1−x)Ge(x))2 formation on narrow lines was carried out on phosphorous doped material, because of the well known difficulties of forming silicide on heavily n-doped silicon. A companion set of boron doped blanket films was also processed. The results show that the process temperature required for the minimization of silicide/germanide sheet resistance is reduced as compared to silicide formation on Si alone. However, the silicide/germanide films agglomerate with increased high temperature processing more easily than pure silicide. The thermal stability is degraded more for films with higher Ge content and is a strong function of dopant type. Silicide/germanide formation on phosphorous doped Si(1−x)Ge(x) layers with x = 10% have a line width dependence similar to silicide formation. Formation on phosphorous doped Si(1−x)Ge(x) layers with x = 27% display an inverse line width dependence, with higher overall sheet resistance. Formation of silicide/germanide on blanket films of boron doped Si(1−x)Ge(x) with x = 27% behaved similar to the formation of silicide on silicon.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号