首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A novel device structure with a high-k HfO2 charge storage layer and dual tunneling layer (DTL) (SiO2/Si3N4) is presented in this paper. Combining advantages of the high trapping efficiency of high-k materials and enhanced charge injection from the substrate through the DTL, the device achieves a fast program/erase speed and a large memory window. The device demonstrates excellent retention due to its physically thick DTL and also improved endurance without any increase of programming Vth throughout the cyclic test as compared with SONOS Flash memory devices using an Si3N4 trapping layer.  相似文献   

2.
Metal-oxide-high-kappa dielectric-oxide-silicon capacitors and transistors are fabricated using HfO2 and Dy2O3 high-kappa dielectrics as the charge storage layer. The programming speed of Al/SiO2/Dy2O3/ SiO2/Si transistor is characterized by a DeltaV th shift of 1.0 V with a programming voltage of 12 V applied for 10 ms. As for retention properties, the Al/SiO2/Dy2O3/ SiO2/Si transistors can keep a DeltaV th window of 0.5 V for 2 times108 s. The corresponding numbers for Al/ SiO2/HfO2/SiO2/Si transistors are 100 ms and 2 times104 s, respectively. The better performance of the Al/SiO2/Dy2O3/ SiO2/Si transistors is attributed to the larger conduction band offset at the Dy2O3/SiO2 interface.  相似文献   

3.
A thermodynamic variational model derived by minimizing the Helmholtz free energy of the MOS device is presented. The model incorporates an anisotropic permittivity tensor and accommodates a correction for quantum-mechanical charge confinement at the dielectric/substrate interface. The energy associated with the fringe field that is adjacent to the oxide is of critical importance in the behavior of small devices. This feature is explicitly included in our model. The model is verified using empirical and technology-computer-aided-design-generated capacitance-voltage data obtained on MOS devices with ZrO2, HfO2, and SiO2 gate insulators. The model includes considerations for an interfacial low-k interface layer between the silicon substrate and the high-k dielectric. This consideration enables the estimation of the equivalent oxide thickness. The significance of sidewall capacitance effects is apparent in our modeling of the threshold voltage (Vth) for MOS capacitors with effective channel length at 30 nm and below. In these devices, a variation in high-k permittivity produces large differences in Vth. This effect is also observed in the variance of Vth, due to dopant fluctuation under the gate.  相似文献   

4.
Flexibly controllable threshold-voltage (Vth) asymmetric gate-oxide thickness (Tox) four-terminal (4T) FinFETs with HfO2 [equivalentoxidethickness(EOT)=1.4 nm] for the drive gate and HfO2+thick SiO2 (EOT=6.4-9.4 nm) for the Vth-control gate have been successfully fabricated by utilizing ion-bombardment-enhanced etching process. Owing to the slightly thick Vth-control gate oxide, the subthreshold slope (S) is significantly improved as compared to the symmetrically thin Tox 4T-FinFETs. As a result, the asymmetric Tox 4T-FinFETs gain higher Ion than that for the symmetrically thin Tox 4T-FinFETs under the same Ioff conditions  相似文献   

5.
An 8-level 3-bit cell programming technique is presented in NOR-type nano-scaled polycrystalline silicon-oxide–nitride-oxide-silicon (SONOS) memory devices. This new operating mode provides the double programming and sensing window over the traditional 4-level cell programming by using a double-side hot hole injection erasing. Compared with the 4-level cell, the storage density of the 8-level cell is greatly improved. However, the cycling endurance and retention properties are not obviously degraded until 1000 program/erase cycling.  相似文献   

6.
To optimize the Vth of double-gate SOI MOSFET's, we fabricated devices with p+ poly-Si for the front-gate electrode and n+ poly-Si for the back-gate electrode on 40-nm-thick direct-bonded SOI wafers. We obtained an experimental Vth of 0.17 V for nMOS and -0.24 V for pMOS devices. These double-gate devices have good short-channel characteristics, low parasitic resistances, and large drive currents. For gates 0.19 μm long, front-gate oxides 8.2 nm thick, and back-gate oxides 9.9 nm thick, we obtained ring oscillator delay times of 43 ps at 1 V and 27 ps at 2 V. To our knowledge, these values are the fastest reported for this gate length with suppressed short-channel effects  相似文献   

7.
In this paper, we describe a systematic study of the electrical properties of low-temperature-compatible p-channel polycrystalline-silicon thin-film transistors (poly-Si TFTs) using HfO2 and HfSiOx, high-k gate dielectrics. Because of their larger gate capacitance density, the TFTs containing the high-k gate dielectrics exhibited superior device performance in terms of higher Ion/Ioff current ratios, lower subthreshold swings (SSs), and lower threshold voltages (Vth), relative to conventional deposited-SiO2, albeit with slightly higher OFF-state currents. The TFTs incorporating HfSiOx, as the gate dielectric had ca. 1.73 times the mobility (muFE) relative to that of the deposited-SiO2 TFTs; in contrast, the HfO2 TFTs exhibited inferior mobility. We investigated the mechanism for the mobility degradation in these HfO2 TFTs. The immunity of the HfSiOx, TFTs was better than that of the HfO2 TFTs-in terms of their Vth shift, SS degradation, muFE degradation, and drive current deterioration-against negative bias temperature instability stressing. Thus, we believe that HfSiOx, rather than HfO2, is a potential candidate for use as a gate-dielectric material in future high-performance poly-Si TFTs.  相似文献   

8.
A flash memory with a lightly doped p-type floating gate is proposed, which improves charge retention and programming/erase (P/E) Vth window. Improvement in P/E window is enhanced for cells with smaller capacitance coupling ratio, which is important for future scaled flash memory cells. Both device simulation and experimental verification are presented.  相似文献   

9.
In this letter, fluorine ion implantation with low- temperature solid-phase crystallized activation scheme is used to obtain a high-performance HfO2 low-temperature poly-Si thin- film transistor (LTPS-TFT) for the first time. The secondary ion mass spectrometer (SIMS) analysis shows a different fluorine profile compared to that annealed at high temperature. About one order current reduction of Imin is achieved because 25% grain- boundary traps are passivated by fluorine implantation. In addition, the threshold voltage instability of hot carrier stress is also improved with the introduction of fluorine. The LTPS-TFT with HfO2 gate dielectric and fluorine preimplantation can simultaneously achieve low VTH ~ 1.32 V, excellent subthreshold swing ~0.141 V/dec, and high ION/Imin current ratio ~1.98 times 107.  相似文献   

10.
In this letter, high-performance low-temperature poly-Si p-channel thin-film transistor with metal-induced lateral- crystallization (MILC) channel layer and TaN/HfO2 gate stack is demonstrated for the first time. The devices of low threshold voltage VTH ~ 0.095 V, excellent subthreshold swing S.S. ~83 mV/dec, and high field-effect mobility muFE ~ 240 cm2/V ldr s are achieved without any defect passivation methods. These significant improvements are due to the MILC channel film and the very high gate-capacitance density provided by HfO2 gate dielectric with the effective oxide thickness of 5.12 nm.  相似文献   

11.
The deterioration of the Si-SiO2 interface is associated with the degradation of long-term retention in polysilicon-oxide-nitride-oxide-silicon (SONOS) nonvolatile semiconductor memory (NVSM) devices. Two-step high temperature deuterium anneals, applied in SONGS device fabrication for the first time, improves the endurance characteristics and retention reliability over traditional hydrogen anneals. Electrical characterization shows deuterium-annealed SONOS devices have nearly one order of magnitude longer retention time than hydrogen-annealed devices after 107 erase/write cycles at 85°C to provide an extrapolated 0.5 V detection window at ten years  相似文献   

12.
This letter demonstrates a high-voltage, high-current, and low-leakage-current GaN/AlGaN power HEMT with HfO2 as the gate dielectric and passivation layer. The device is measured up to 600 V, and the maximum on-state drain current is higher than 5.5 A. Performance of small devices with HfO2 and Si3N4 dielectrics is compared. The electric strength of gate dielectrics is measured for both HfO2 and Si3N4. Devices with HfO2 show better uniformity and lower leakage current than Si3N4 passivated devices. The 5.5-A HfO2 devices demonstrate very low gate (41 nA/mm) and drain (430 nA/mm) leakage-current density and low on-resistance (6.2 Omegamiddotmm or 2.5 mOmegamiddotcm2).  相似文献   

13.
We have studied the nitrogen composition dependence of the characteristics of Hf1-x-yNxOy/SiO2/Si MONOS memory devices. By increasing the N composition in the Hf1-x-yNxOy trapping layer, both the memory window and high-temperature retention improved. The Hf0.3N0.2O0.5 MONOS device displayed good characteristics in terms of its plusmn9-V program/erase (P/E) voltage, 100-mus P/E speed, large initial 2.8-V memory window, and a ten-year extrapolated retention of 1.8 V at 85degC or 1.5 V at 125degC.  相似文献   

14.
A nonvolatile memory based on silicon nanocrystals (nc-Si) synthesized with very-low-energy Si/sup +/ implantation is fabricated, and the memory performance under the programming/erasing of either Fowler-Nordheim (FN)/FN or channel hot electron (CHE)/FN at both room temperature and 85/spl deg/C is investigated. The CHE programming has a larger memory window, a better endurance, and a longer retention time as compared to FN programming. In addition, the CHE programming yields less stress-induced leakage current than FN programming, suggesting that it produces less damage to the gate oxide and the oxide/Si interface. Detailed discussions on the impact of the programming mechanisms are presented.  相似文献   

15.
One transistor ferroelectric nonvolatile memory with gate stack of Pt/Pb5Ge3O11/lr/poly-Si/SiO2 /Si was successfully fabricated. This device features a saturated memory window of 3 V at a programming voltage of higher than 3 V from C-V and I-V measurements. The memory window decays rapidly within 10 seconds after programming, but remains stable at 1 V for up to 100 h. The "on" and "off" state currents are greater than 10 μA/μm and less 0.01 pA/μm, respectively, at a drain voltage of 0.1 V  相似文献   

16.
We have studied the performance of double-quantum-barrier [TaN-Ir3Si]-[HfAlO-LaAlO3]-Hf0.3N0.2O0.5-[HfAlO-SiO2]-Si charge-trapping memory devices. These devices display good characteristics in terms of their plusmn9-V program/erase (P/E) voltage, 100-mus P/E speed, initial 3.2-V memory window, and ten-year extrapolated data retention window of 2.4 V at 150 degC. The retention decay rate is significantly better than single-barrier MONOS devices, as is the cycled retention data, due to the reduced interface trap generation.  相似文献   

17.
Improved performance and stability was demonstrated for ZnO/ZnMgO hetero-MISFETs. The MIS gate structures that were formed using either a 50-nm-thick Al2O3 or HfO2 gate dielectric layer were examined by observation of the transfer characteristic hysteresis. A significantly reduced hysteresis of less than 0.1 V was obtained for HfO2 as compared to that for the Al2O3 gate dielectric. By reducing the access resistance, the 1-mum gate devices showed improved transconductance values, as high as 54 mS/mm for Al2O3 and 71 mS/mm for HfO2, which are the highest values ever reported for ZnO-based FETs.  相似文献   

18.
To realize low-cost, highly reliable, high-speed programming, and high-density multilevel flash memories, a multipage cell architecture has been proposed. This architecture enables both precise control of the Vth of a memory cell and fast programming without any area penalty. In the case of a four-level cell, a high programming speed of 236 μs/512 bytes or 2.2 Mbytes/s can be obtained, which is 2.3 times faster than the conventional method. A small die size can be achieved with the newly developed compact four-level column latch circuit. A preferential page select method has also been proposed so as to improve the data retention characteristics. The IC error rate can be decreased by as much as 33%, and a highly reliable operation can be realized  相似文献   

19.
High-quality jet vapor deposition nitride is investigated as a tunnel dielectric for flash memory device application. Compared to control devices with SiO2 tunnel dielectric, faster programming speed as well as better retention time are achieved with low programming voltage. The p-channel devices can be programmed by hot electrons and erased by hot holes, or vice versa. Multilevel programming capability is shown  相似文献   

20.
This work presents the characteristics of a two-bit-per-cell charge-trapping nonvolatile memory (NVM) device by using gate-to-drain nonoverlapped implanted (NOI) n-MOSFETs. Hot carriers are generated in NOI devices and injected into the silicon nitride spacers. The characteristics of this potential single-transistor NVM cell, including two-bit operation, programming and erasing characteristics, are investigated. Their stability and reliability characteristics such as retention, disturbance and cycling are also evaluated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号