首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 187 毫秒
1.
提出了一种低抖动、宽调节范围的带宽自适应CMOS锁相环.由于环路带宽可根据输入频率进行自动调节,电路性能可在整个工作频率范围内得到优化.为了进一步提高电路的抖动特性,在电荷泵电路中采用匹配技术,并在压控振荡器中应用电压-电压转换电路以减小压控振荡器的增益.芯片采用SMIC 0.35μm CMOS工艺加工.测试结果表明该锁相环电路可在200MHz~1.1GHz的输出频率范围内保持良好的抖动性能.  相似文献   

2.
针对电荷泵锁相环的抖动问题,对CMOS电荷泵锁相环的压控振荡器电路进行改进;设计了一种采用增益补偿技术的压控振荡器,实现了可用于DC-DC变换器中与外部时钟同步的电荷泵锁相环.电路设计基于TSMC 0.18 μm CMOS工艺,采用HSPICE软件仿真验证.仿真结果表明,在3.3 V电源电压、-40 ℃~85 ℃温度范围内,该电荷泵锁相环能够与外部时钟同步于1.5 ~3.5 MHz的频率范围,锁定时间小于72 μs,功耗小于1.3 mW.  相似文献   

3.
在带电荷泵的锁相环频率综合器中,设计低杂散锁相环的关键是减少鉴频鉴相器和电荷泵的非理想特性以及提高压控振荡器的性能.采用TSMC 0.18 μm CMOS工艺,设计了一种改进型锁相环电路.仿真结果显示,在1.8V基准电压供电时,电荷泵电流在0.3~1.6V电压范围内匹配度小于1μA,电流失配率小于0.2%,压控振荡器在中心频率2.4 GHz频偏1 MHz时的相位噪声为-124.3 dBc/Hz@1 MHz,环路参考杂散降为-60 dBm.  相似文献   

4.
采用反馈时钟进行频率检测,设计了一种应用于高频、低抖动频率综合器中的锁相环校准电路。相较于采用参考时钟计数的传统频率校准方法,该方法提高了频率校准精度。配合幅度校准电路交替进行压控振荡器幅度校准和频率校准,可以选取最优幅度和频率控制字,有效提高系统输出时钟抖动性能。高精度频率检测电路和幅度检测电路的电源电压为3.3 V,压控振荡器调谐频率范围为2.7~3.1 GHz,压控增益范围为10~15 MHz/V,初始频率和幅度控制字及最大输出幅度限制可配置。  相似文献   

5.
一种低电压低功耗的环形压控振荡器设计   总被引:3,自引:1,他引:2  
提出了锁相环的核心部件压控振荡器(VCO)的一种设计方案.该压控振荡器采用全差分环形压控振荡器结构,其延迟单元使用交叉耦合晶体管对来进行频率调节.基于SMIC0.18μmCMOS工艺,用Hspice对电路进行了仿真.仿真结果表明,该压控振荡器具有良好的线性度,较宽的线性范围以及高的工作频率,在1.8V的低电源电压下,振荡频率的变化范围为402~873MHz,中心频率在635MHz,功耗仅为6mW,振荡在中心频率635MHz时的均方根抖动为3.91ps.  相似文献   

6.
设计了一款用于高速图像传感器的可自调节、加速补偿CMOS电荷泵锁相环电路,通过在传统锁相环电路拓扑中,附加"双模式"逻辑时控的、低功耗加速充电补偿模块,实现了锁定时间与功耗的双重优化.基于180 nm/1.8 V CMOS工艺完成锁相环的电路设计和性能仿真,结果表明,基于所提出的加速补偿方案,改进后的锁相环可有效满足图像传感器对低功耗、高速、高频和低噪声输出特性的需求.在输入频率为1 GHz的参考信号时,压控振荡器可达到0.55~2.82 GHz,即2.27 GHz的频率范围,相位噪声为-98.149 dBc/Hz@1 MHz,锁定时间缩短至5.2μs,整体功耗仅为1.98 mW,同时输出的抖动噪声可低至2.81 μV/√Hz@1 MHz,多个性能指标优于所对比的同类锁相环电路.  相似文献   

7.
采用0.18μm CMOS工艺设计了一种用于高速锁相环系统的压控振荡器(VCO)电路,该电路的中心频率可根据需要进行调节.电路采用SMIC 0.18 μm工艺模型,使用Cadence的Spectre工具进行了仿真,仿真结果表明,该电路可工作在2.125~3.125 GHz范围内,在5 MHz频偏处的相位噪声为-105 dBc/Hz.  相似文献   

8.
随着通信技术对射频收发机性能要求的提高,高性能压控振荡器已成为模拟集成电路设计、生产和实现的关键环节.针对压控振荡器设计过程中存在相位噪声这一核心问题,采用STMC 0.18μm CMOS工艺,提出了一种1.115GHz的电感电容压控振荡器电路,利用Cadence中的SpectreRF对电路进行仿真.仿真结果表明:在4~6V的电压调节范围内,压控振荡器的输出频率范围为1.114 69~1.115 38GHz,振荡频率为1.115GHz时,在偏离中心频率10kHz处、100kHz处以及1MHz处的相位噪声分别为-90.9dBc/Hz,-118.6dBc/Hz,-141.3dBc/Hz,以较窄的频率调节范围换取较好的相位噪声抑制,从而提高了压控振荡器的噪声性能.  相似文献   

9.
基于3.3V 0.35μm TSMC 2P4M CMOS体硅工艺,设计了一款1GHz多频带数模混合压控振荡器.采用环形振荡器加上数模转换器结构,控制流入压控振荡器的电流来调节压控振荡器的频率而实现频带切换.仿真结果表明,在1V~2V的电压调节范围内,压控振荡器输出频率范围为823.3MHz~1.061GHz,且压控振荡器的增益仅有36.6MHz/V,振荡频率为1.0612GHz时,频率偏差1MHz处的相位噪声为-96.35dBc/Hz,在获得较大频率调节范围的同时也能保持很低的增益,从而提高了压控振荡器的噪声性能.  相似文献   

10.
0620188一种新型的四阶低抖动带双控制环路CMOS锁相环[刊,中]/房华//电讯技术.-2006,46(2).-139-143 (G)设计了一种四阶低抖动带双控制环路压控振荡器的锁相环(PLL)。该锁相环在恒定的反馈参数下,压控振荡器压频增益几近恒定。锁相环的所有部件都设计在同一芯片上,电路设计基于0.35μmCMOS工艺。HSPICE仿真结果显示,所设计的锁相环路具有很好的抗噪声性能,工作在800MHz频率范围内,整个相位  相似文献   

11.
A novel structure of a phase-locked loop(PLL) characterized by a short locking time and low jitter is presented,which is realized by generating a linear slope charge pump current dependent on monitoring the output of the phase frequency detector(PFD) to implement adaptive bandwidth control.This improved PLL is created by utilizing a fast start-up circuit and a slope current control on a conventional charge pump PLL.First,the fast start-up circuit is enabled to achieve fast pre-charging to the loop filter...  相似文献   

12.
A 1.8~3.2-GHz fully differential phase-locked loop (PLL) is realized for asynchronous transfer mode clock generation applications. The PLL includes a new differential voltage controlled oscillator with the wide tuning range of 1.74~3.40 GHz and a new differential charge pump with improved hold characteristics. The PLL is implemented with 0.5-μm GaAs MESFET technology. The experimental results show that the proposed PLL has a lock range of 1.8~3.2 GHz and its output RMS jitter is at most 5.0 ps (0.015 UI) at 3.2 GHz  相似文献   

13.
本文设计了一款用于USB2.0时钟发生作用的低抖动、低功耗电荷泵式锁相环电路。其电路结构包含鉴频/鉴相器、电荷泵、环路滤波器、压控振荡器和分频器。电路设计是基于CSM0.18μmCMOS工艺,经HSPICE仿真表明,锁相环输出480MHz时钟的峰峰值抖动仅为5.01ps,功耗仅为8.3mW。  相似文献   

14.
A low jitter,low spur multiphase phase-locked loop(PLL) for an impulse radio ultra-wideband(IR-UWB) receiver is presented.The PLL is based on a ring oscillator in order to simultaneously meet the jitter requirement, low power consumption and multiphase clock output.In this design,a noise and matching improved voltage-controlled oscillator(VCO) is devised to enhance the timing accuracy and phase noise performance of multiphase clocks.By good matching achieved in the charge pump and careful choice of the l...  相似文献   

15.
设计一种低抖动电荷泵锁相环频率合成器,输出频率为400 MHz~1 GHz。电路采用电流型电荷泵自举结构消除电荷共享效应,同时实现可编程多种输出电流值。通过具体的频率范围来选择使用的VCO,获得更小的锁相环相位抖动。电路采用0.13μm 1.2 V CMOS工艺,芯片面积为0.6 mm×0.5 mm。Hsim后仿真结果显示当输出频率为1 GHz时,锁相环频率合成器的锁定时间为4.5μs,功耗为19.6 mW,最大周对周抖动为11 ps。  相似文献   

16.
重点分析了环路延迟对锁相环稳定性和输出信号抖动性能的影响,提出了一个简单的优化设计方法。用90nmCMOS工艺设计实现了一个基于自偏置技术的时钟锁相环,锁相环可以在很宽的输入频率范围内输出低抖动的时钟信号。  相似文献   

17.
近几十年来,微电子技术和无线电通讯技术得到了飞速的发展。锁相环在倍频、频率合成、调制解调等方面得到了广泛的应用。锁相环输出抖动是衡量锁相环性能优劣的关键指标之一,电源电压的不断降低和数据传输速率不断提高,使得电源电压噪声对锁相环输出抖动的影响也越加重要,因此急需一个可以预测电源电压对锁相环输出抖动影响的参数模型。本文论述了锁相环输出抖动对电源电压灵敏度的概念,此灵敏度概念可以预测特定频率和幅值下电源电压对应的输出抖动。由于锁相环的应用背景各不相同,导致锁相环的结构也不尽相同。本论文主要针对于电荷泵锁相环进行研究,其中VCO采用LC交叉耦合结构。本论文提供的研究锁相环电源电压噪声对输出抖动影响的方法,为研究其它结构的锁相环噪声性能也提供了新的思路。  相似文献   

18.
This paper describes a phase-locked loop (PLL) based frequency synthesizer. The voltage-controlled oscillator (VCO) utilizing a ring of single-ended current-steering amplifiers (CSA) provides low noise, wide operating frequencies, and operation over a wide range of power supply voltage. A programmable charge pump circuit automatically configures the loop gain and optimizes it over the whole frequency range. The measured PLL frequency ranges are 0.3-165 MHz and 0.3-100 MHz at 5 V and 3 V supplies, respectively (the VCO frequency is twice PLL output). The peak-to-peak jitter is 81 ps (13 ps rms) at 100 MHz. The chip is fabricated with a standard 0.8-μm n-well CMOS process  相似文献   

19.
A 4224 MHz phase-locked loop (PLL) is implemented in 0.13 μm CMOS technology. A dynamic phase frequency detector is employed to shorten the delay reset time so as to minimize the noise introduced by the charge pump. Dynamic mismatch of charge pump is considered. By balancing the switch signals of the charge pump, a good dynamic matching characteristic is achieved. A high-speed digital frequency divider with balanced input load is also designed to improve in-band phase noise performance. The 4224 MHz PLL achieves phase noises of-94 dBc/Hz and -114.4 dBc/Hz at frequency offsets of 10 kHz and 1 MHz, respectively. The integrated RMS jitter of the PLL is 0.57 ps (100 Hz to 100 MHz) and the PLL has a reference spur of-63 dB with the second order passive low pass filter.  相似文献   

20.
This brief presents an adaptive-bandwidth (BW) phase-locked loop (PLL) that retains the optimal jitter performance over a wide frequency range via continuous background frequency calibration. The effective center frequency of the voltage-controlled oscillator (VCO) is calibrated by adjusting the feedforward division factor while a dual-PLL architecture hides the switching transients. As a result, the core ring oscillator only needs to operate over a narrow frequency range of 2 : 1 that is optimal for the jitter, supply sensitivity, and charge pump current mismatch over process, voltage, and temperature (PVT) conditions. The prototype PLL was fabricated in a 0.13-$muhbox{m}$ CMOS process, consumed 36 mW of power, and occupied $1.1 times 0.46 hbox{mm}^{2}$ of area. The measured root-mean-square (RMS) tracking jitter was less than 0.2% of the reference clock period for the wide range of output frequency (2 MHz–1 GHz) and multiplication factor $(2^{0 - 9})$, which supports that the PLL BW scales adaptively with the reference frequency. Compared to a PLL without frequency calibration, the proposed PLL demonstrated the jitter reduction up to 80%.   相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号