首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Inductively coupled plasma reactive ion etching of titanium thin films patterned with a photoresist using Cl2/Ar gas was examined. The etch rates of the titanium thin films increased with increasing the Cl2 concentration but the etch profiles varied. In addition, the effects of the coil rf power, dc-bias voltage and gas pressure on the etch rate and etch profile were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and gas pressure. The degree of anisotropy in the etched titanium films improved with increasing coil rf power and dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed the formation of titanium compounds during etching, indicating that Ti films etching proceeds by a reactive ion etching mechanism.  相似文献   

2.
Do Young Lee 《Thin solid films》2009,517(14):4047-4051
Inductively coupled plasma reactive ion etching of indium zinc oxide (IZO) thin films masked with a photoresist was performed using a Cl2/Ar gas. The etch rate of the IZO thin films increased as Cl2 gas was added to Ar gas, reaching a maximum at 60% Cl2 and decreasing thereafter. The degree of anisotropy in the etch profile improved with increasing coil rf power and dc-bias voltage. Changes in pressure had little effect on the etch profile. X-ray photoelectron spectroscopy confirmed the formation of InCl3 and ZnCl2 on the etched surface. The surface morphology of the films etched at high Cl2 concentrations was smoother than that of the films etched at low Cl2 concentrations. These results suggest that the dry etching of IZO thin films in a Cl2/Ar gas occurs according to a reactive ion etching mechanism involving ion sputtering and a surface reaction.  相似文献   

3.
An inductively coupled plasma reactive ion etching of IrMn magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of IrMn thin films and Ti hard mask decreased, while the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage to substrate and gas pressure on the etch characteristics were investigated. The etch rate increased and the etch profile improved with increasing coil rf power, dc-bias voltage and decreasing gas pressure. X-ray photoelectron spectroscopy revealed that the chemical reaction between IrMn films and CH3OH gas occurred, leading to the clean and good etch profile with high degree of anisotropy of 90°.  相似文献   

4.
Inductively coupled plasma reactive ion etching of CoFeB magnetic thin films patterned with Ti hard mask was studied in a CH3OH/Ar gas mix. As the CH3OH concentration increased, the etch rates of CoFeB thin films and Ti hard mask decreased but the etch profiles improved with high degree of anisotropy. The effects of coil rf power, dc-bias voltage and gas pressure on the etch characteristics were investigated. The etch rate increased with increasing coil rf power, dc-bias voltage and decreasing gas pressure. The degree of anisotropy in the etch profile of CoFeB films improved with increasing coil rf power and dc-bias voltage. X-ray photoelectron spectroscopy revealed that the chemical compounds containing Co and Fe components were formed during the etching. However, it was expected that the formation of these compounds could not increase the etch rates of the films due to low volatile compounds despite the improvement in etch profile.  相似文献   

5.
Etch characteristics of L10 FePt thin films masked with TiN films were investigated using an inductively coupled plasma (ICP) reactive ion etching in a CH3OH/Ar plasma. As the CH3OH gas was added to Ar, the etch rates of FePt thin films and TiN hard mask gradually decreased, and the etch profile of FePt films improved with high degree of anisotropy. With increasing ICP rf power and dc-bias voltage to substrate and decreasing gas pressure, the etch rate increased and the etch profile becomes vertical without any redepositions or etch residues. Based on the etch characteristics and surface analysis of the films by X-ray photoelectron spectroscopy, it can be concluded that the etch mechanism of FePt thin films in a CH3OH/Ar gas does not follow the reactive ion etch mechanism but the chemically assisted sputter etching mechanism, due to the chemical reaction of FePt film with CH3OH gas.  相似文献   

6.
Su Ryun Min 《Thin solid films》2008,516(11):3521-3529
The etching characteristics of ZnO thin films were examined in an HBr/Ar gas mix using an inductively coupled plasma reactive ion etching system. The etch rate and etch profile were systematically investigated as a function of gas concentration. In addition, the effects of etch parameters such as coil rf power, dc-bias voltage, and gas pressure were studied. As the HBr concentration increased, the etch rate of the ZnO films gradually decreased while the etch profile was improved. Surface analyses including X-ray photoelectron spectroscopy and atomic force microscopy were employed to elucidate the etch mechanism of ZnO in an HBr/Ar chemistry.  相似文献   

7.
In this study, we investigated to the etch characteristics of indium zinc oxide (IZO) thin films in a CF4/Ar plasma, namely, etch rate and selectivity toward SiO2. A maximum etch rate of 76.6 nm/min was obtained for IZO thin films at a gas mixture ratio of CF4/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, including adaptively coupled plasma chamber pressure. X-ray photoelectron spectroscopy analysis showed efficient destruction of the oxide bonds by ion bombardment, as well as accumulation of low volatile reaction products on the surface of the etched IZO thin films. Field emission Auger electron spectroscopy analysis was used to examine the efficiency of ion-stimulated desorption of the reaction products.  相似文献   

8.
In this study, we monitored the HfAlO3 etch rate and selectivity to SiO2 as a function of the etch parameters (gas mixing ratio, RF power, DC-bias voltage, and process pressure). A maximum etch rate of 52.6 nm/min was achieved in the 30% BCl3/(BCl3 + Ar) plasma. The etch selectivity of HfAlO3 to SiO2 reached 1.4. As the RF power and the DC-bias voltage increased, the etch rate of the HfAlO3 thin film increased. As the process pressure decreased, the etch rate of the HfAlO3 thin films increased. The chemical state of the etched surfaces was investigated by X-ray Photoelectron Spectroscopy (XPS). According to the results, the etching of HfAlO3 thin films follows the ion-assisted chemical etching mechanism.  相似文献   

9.
In this work, we investigated the etching characteristics of TiO2 thin films and the selectivity of TiO2 to SiO2 in a BCl3/Ar inductively coupled plasma (ICP) system. The maximum etch rate of 84.68 nm/min was obtained for TiO2 thin films at a gas mixture ratio of BCl3/Ar (25:75%). In addition, etch rates were measured as a function of etching parameters, such as the RF power, DC-bias voltage and process pressure. Using the X-ray photoelectron spectroscopy analysis the accumulation of chemical reaction on the etched surface was investigated. Based on these data, the ion-assisted physical sputtering was proposed as the main etch mechanism for the BCl3-containing plasmas.  相似文献   

10.
Xue-Yang 《Thin solid films》2010,518(22):6441-6445
In this study, the etching characteristics of ALD deposited Al2O3 thin film in a BCl3/N2 plasma were investigated. The experiments were performed by comparing the etch rates and the selectivity of Al2O3 over SiO2 as functions of the input plasma parameters, such as the gas mixing ratio, the DC-bias voltage, the RF power, and the process pressure. The maximum etch rate was obtained at 155.8 nm/min under a 15 mTorr process pressure, 700 W of RF power, and a BCl3 (6 sccm)/N2 (14 sccm) plasma. The highest etch selectivity was 1.9. We used X-ray photoelectron spectroscopy (XPS) to investigate the chemical reactions on the etched surface. Auger electron spectroscopy (AES) was used for the elemental analysis of the etched surfaces.  相似文献   

11.
Thin films of HfAlO3, a high-k material, were etched using inductively-coupled plasma. The dry etching mechanism of the HfAlO3 thin film was studied by varying the Cl2/Ar gas mixing ratio, RF power, direct current bias voltage, and process pressure. The maximum etch rate of the HfAlO3 thin film was 16.9 nm/min at a C12/(C12 + Ar) ratio of 80%. Our results showed that the highest etch rate of the HfAlO3 thin films was achieved by reactive ion etching using Cl radicals, due to the high volatility of the metal-chlorides. Consequently, the increased chemical effect caused an increase in the etch rate of the HfAlO3 thin film. Surface analysis by x-ray photoelectron spectroscopy showed evidence that Hf, Al and O reacted with Cl and formed nonvolatile metal-oxide compounds and volatile metal-chlorides. This effect may be related to the concurrence of chemical and physical pathways in the ion-assisted chemical reaction.  相似文献   

12.
S.D. Park 《Thin solid films》2007,515(12):5045-5048
In this study, the effect of BCl3/C4F8 gas mixture on the ZrOx etch rates and the etch selectivities of ZrOx/Si were investigated and its etch mechanism was studied. The increase of C4F8 in BCl3/C4F8 decreased the silicon etch rate significantly and finally deposition instead of etching occurred by mixing C4F8 more than 3%. In the case of ZrOx, the etch rate remained similar until 4% of C4F8 was mixed, however, the further increase of C4F8 percentage finally decreased the ZrOx etch rate and deposition instead of etching occurred by mixing more than 6%. Therefore, by mixing 3-4% of C4F8 to BCl3, infinite etch selectivity of ZrOx/Si could be obtained while maintaining the similar ZrOx etch rate. The differences in the etch behaviors of ZrOx and Si were related to the different thickness of C-F polymer formed on the surfaces. The thickness of the C-F polymer on the ZrOx surface was smaller due to the removal of carbon incident on the surface by forming COx with oxygen in ZrOx. Using 12 mTorr BCl3/C4F8 (4%), 700 W of rf power, and − 80 V of dc bias voltage, the ZrOx etch rate of about 535 Å/min could be obtained with infinite etch selectivity to Si.  相似文献   

13.
The reactive ion etching (RIE) technique was used to etch polycrystalline diamond thin films. In this study we investigate the influence of process parameters (total pressure, rf power, gas composition) of standard capacitively coupled plasma RIE system on the etching rate of diamond films. The surface morphology of etched diamond films was characterized by Scanning Electron Microscopy and the chemical composition of the etched film part was investigated by Raman Spectroscopy.We found that the gas composition had a crucial effect on the diamond film morphology. The use of CF4 gas resulted in flatter surfaces and lateral-like etching, while the use of pure O2 gas resulted in needle-like structures. Addition of argon to the reactant precursors increased the ion bombardment, which in turn increased the formation of non-diamond phases. Next, increasing the rf power from 100 to 500 W increased the etching rate from 5.4 to 8.6 μm/h. In contrast to this observation, the rise of process pressure from 80 to 150 mTorr lowered the etching rate from 5.6 down to 3.6 μm/h.  相似文献   

14.
Etch damage of TiO2 thin films with the anatase phase by capacitively coupled RF Ar plasmas has been investigated. The plasma etching causes a mixed phase of anatase and rutile or the rutile phase. The effect of Ar plasma etching damage on degenerating TiO2 thin films is dependent on gas pressure and etching time. The physical etching effect at a low gas pressure (1.3 Pa) contributes to the degradation: the atomic O concentration at the thin film surface is strongly increased. At a high gas pressure (13-27 Pa) and long etching time (60 min), there are a variety of surface defects or pits, which seem to be similar to those for GaN resulting from synergy effect between particle and UV radiation from the plasmas. For the hydrophilicity, the thin film etched at the high gas pressure and a short etching time (5 min) seems to have no etch damage: its contact angle property is almost similar to that for the as-grown thin film, and is independent of the black light irradiation. This result would probably result from formation of donor-like surface defects such as oxygen vacancy.  相似文献   

15.
The etching characteristics of ITO in a BCl3/Ar plasma, including the etch rate and selectivity of ITO, were investigated. The maximum etch rate of 62.8 nm/min for the ITO thin films was obtained at a BCl3/Ar gas mixing ratio of 25%/75%. Ion bombardment by physical sputtering was required to obtain such high etch rates, due to the relatively low volatility of the by-products formed during the etching. The chemical reactions on the etched surfaces were investigated using X-ray Photoelectron Spectroscopy (XPS) and the preferential losses on the etched surfaces were investigated using Atomic Force Microscopy (AFM).  相似文献   

16.
Using a generalized regression neural network (GRNN), plasma etching of oxynitride thin films was modeled. The etch process was characterized by means of a statistical experiment. A genetic algorithm was employed to improve prediction performance by optimizing multiparameterized training factors. Compared to a conventional GRNN model, the constructed etch rate model demonstrated an improvement of about 60% in the prediction performance. 3-D plots were generated to qualitatively interpret etch mechanisms while validating the predictions with experimental data. In separating physical and chemical effects, both dc bias and profile angle variations were effectively utilized. The source power affected significantly the etch rate irrespective of changes in the bias power or C2F6 flow rate. For pressure variations, the etch rate was estimated to be dominated by chemical etching. The complex effect of C2F6 flow rate could be explained by dominant chemical etching or polymer deposition.  相似文献   

17.
Etching characteristics and the mechanism of HfO2 thin films in Cl2/Ar inductively-coupled plasma were investigated. The etch rate of HfO2 was measured as a function of the Cl2/Ar mixing ratio in the range of 0 to 100% Ar at a fixed gas pressure (6 mTorr), input power (700 W), and bias power (300 W). We found that an increase in the Ar mixing ratio resulted in a monotonic decrease in the HfO2 etch rate in the range of 10.3 to 0.7 nm/min while the etch rate of the photoresist increased from 152.1 to 375.0 nm/min for 0 to 100% Ar. To examine the etching mechanism of HfO2 films, we combined plasma diagnostics using Langmuir probes and quadrupole mass spectrometry with global (zero-dimensional) plasma modeling. We found that the HfO2 etching process was not controlled by ion-surface interaction kinetics and formally corresponds to the reaction rate-limited etch regime.  相似文献   

18.
In this study, we carried out an investigation of the etching characteristics (etch rate, selectivity) of HfO2 thin films in the CF4/Ar inductively coupled plasma (ICP). The maximum etch rate of 54.48 nm/min for HfO2 thin films was obtained at CF4/Ar (=20:80%) gas mixing ratio. At the same time, the etch rate was measured as function of the etching parameters such as ICP RF power, DC-bias voltage, and process pressure. The X-ray photoelectron spectroscopy analysis showed an efficient destruction of the oxide bonds by the ion bombardment as well as an accumulation of low volatile reaction products on the etched surface. Based on these data, the chemical reaction was proposed as the main etch mechanism for the CF4-containing plasmas.  相似文献   

19.
In this study, we investigated the etching characteristics of indium tin oxide (ITO) thin films at CF4/Ar plasma. The maximum etch rate of 29.8 nm/min for the ITO thin films was obtained at CF4/Ar (=80/20) gas mixing ratio. The standard conditions were the RF power of 800 W, the DC-bias voltage of −150 V, the process pressure of 2 Pa, and the substrate temperature of 40 °C. Corresponding to these etching conditions, chemical reaction of the etched ITO surface has been studied by X-ray photoelectron spectroscopy measurement to investigate the chemical reactions between the surfaces of ITO thin film and etch species. The preferential losses on the etched surfaces were investigated using atomic force microscopy.  相似文献   

20.
The investigation of Al2O3 etch characteristics in the BCl3/Ar inductively coupled plasma was carried out in terms of effects of input process parameters (gas pressure, input power, bias power) on etch rate and etch selectivity over poly-Si and photoresist. It was found that, with the changes in gas pressure and input power, the Al2O3 etch rate follows the behavior of ion current density while the process rate is noticeably contributed by the chemical etch pathway. The influence of input power on the etch threshold may be connected with the concurrence of chemical and physical etch pathways in ion-assisted chemical reaction.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号