首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
SU-8光刻胶因具有良好的机械耐久性、聚合物水密性、介电性能、生物兼容性和抗化学腐蚀性而被广泛用于MEMS器件、生物医学和芯片封装等领域。现有制作工艺中,在不损伤器件的同时完全去除和剥离SU-8光刻胶仍是一个难题。文章研究了一种基于O2/CF4等离子刻蚀配合湿法刻蚀的去除方法,实现了SU-8光刻胶在硅基底、非晶无机非金属材料、电镀金属等材料上的有效去除。  相似文献   

2.
This paper deals with the influence of the oxygen additive on the fluorinated plasma etch rate of silicon carbide. The assumption according to which the oxygen has a direct contribution to silicon carbide etching, by chemical reaction with carbon atoms, is generally reported in the literature. Our etching experiments are performed in a distributed electron cyclotron resonance reactor, on both 3C- and 6H-SiC. An SF6/O2 gas mixture (avoiding the presence of C species in the plasma), fluorine saturation conditions and constant ion bombardment energy and flux are used, allowing the study of O2 contribution exclusively. In these conditions, our results demonstrate the neutrality of O2 on SiC etching mechanisms. These results will be discussed reinfored both by several other experimental observations.  相似文献   

3.
The effects of reactive ion etching (RIE) of SiO2 layer in CHF3 / C2F6 on the underlying Si surface have been studied by X-ray photoelectron spectroscopy (XPS), secondary ion mass spectrometer, Rutherford backscattering spectroscopy, and high resolution transmission electron microscopy. We found that two distinguishable modified layers are formed by RIE : (i) a uniform residue surface layer of 4 nm thickness composed entirely of carbon, fluorine, oxygen, and hydrogen with 9 different kinds of chemical bonds and (ii) a contaminated silicon layer of about 50 nm thickness with carbon and fluorine atoms without any observable crystalline defects. To search the removal condition of the silicon surface residue, we monitored the changes of surface compositions for the etched silicon after various post treatments as rapid thermal anneal, O2, NF3, SF6, and Cl2 plasma treatments. XPS analysis revealed that NF3 treatment is most effective. With 10 seconds exposure to NF3 plasma, the fluorocarbon residue film decomposes. The remained fluorine completely disappears after the following wet cleaning.  相似文献   

4.
In this study, the interface chemistry and adhesion strengths between porous SiO2 low-dielectric-constant film and SiN capping layer as well as SiC etch stop layer have been investigated under different plasma treatments. Elements of Si, O, and N constructed an interlayer region with mixing Si-N and Si-O bonds at the interface between the porous SiO2 film and SiN capping layer. After plasma treatments especially O2 plasma, the oxygen content at the interface increased, and the binding energy obviously shifted to a higher level. Under nanoindentation and nanoscratch tests, interface delamination occurred, and the interface adhesion strength was accordingly measured. After plasma treatments especially the O2 plasma, more Si-O bonds of high binding energy existed at the interface, and thus the interface adhesion strength was effectively improved. The adhesion energy of SiO2/SiN and SiC/SiO2 interfaces was enhanced to 4.7 and 10.5 J/m2 measured by nanoindentation test, and to 1.3 and 2.0 J/m2 by nanoscratch test, respectively.  相似文献   

5.
Gd2O3 is a promising gate dielectric for GaN, but little is known of its dry etching characteristics. We achieved Gd2O3 etch rates up to ~600 Å · min?1 in high density Cl2-based discharges, with maximum selectivities of ~15 over GaN and ~4 over AlN. Pure Cl2 discharges produced reverse selectivities for both Gd2O3/GaN and Gd2O3/AlN, with typical values between 0.1–0.4. When a rare gas additive such as Ar or Xe was added to the plasma chemistry, the nitrides etched faster than the oxide. This indicates that volatile etch products (GaCl3, AlCl3, N2) form in Cl2-based plasmas once the GaN or AlN bonds are broken by ion bombardment, but that GdClx species are not volatile. In conjunction with the low efficiency for Gd2O3 bond-breaking at low ion energies, this leads to low selectivity.  相似文献   

6.
On the basis of numerical modeling, the effect of oxygen concentration on the etching rate of silicon in a CF4/O2 plasma is investigated. The calculations are carried out with the use of an improved model of a nonisothermal reactor with multicomponent kinetics incorporating F, F2, CF2, CF3, CF4, C2F6, O, O2, CO, CO2, COF, and COF2; altogether, there are twelve reagents. The competition of processes of interaction of fluorine with silicon and oxygen chemisorption on the wafer surface is considered the central mechanism responsible for reducing the etching rate. An improved model for describing the competing processes of etching, chemisorption of O and CF2, and adsorption of CF2 and CF3 radicals on silicon is proposed. The effect of model parameters on the etching rate is investigated. The chemisorption of O on silicon dominates over the adsorption processes of the CF2 and CF3 radicals. It is shown that the ratio of sticking coefficients of the fluorine and oxygen atoms substantially affects the location of the maxima of the spontaneous etching rate and concentration of active particles depending on the oxygen concentration in the mixture. If these coefficients are equal, the peak value of the spontaneous etching rate is attained at an oxygen concentration 10–15% lower than the concentration of active fluorine in the reactor volume.  相似文献   

7.
The electron cyclotron resonance (ECR) etching of silicon carbide (SiC) was studied using SF6 + O2 based plasma. The role of O2 was studied by varying the O2 flow rate while keeping the total gas flow constant. It was found that oxygen enhances the etch rate at low O2 fraction through releasing more fluorine atoms, while lowers the etch rate at high O2 fraction by diluting fluorine atoms and forming an oxide-like layer. The etched surface roughness was found to be affected by the surface oxidation and oxygen ion related physical ion bombardment. The role of oxygen in chemical etching of carbon was found to be insignificant. In general, the etched surface is smooth and free of micromasking effect that can arise from Al contamination and C rich layer.  相似文献   

8.
Dry plasma etching of sub-micron structures in a SiO2/Si/SiO2 layer system using Cr as a mask was performed in a fluorocarbon plasma. It was determined that the best anisotropy could be achieved in the most electropositive plasma. A gas composition yielding the desired SOI planar photonic crystal structures was optimized from the available process gases, Ar, He, O2, SF6, CF4, c-C4F8, CHF3, using DC bias data sets. Application of the c-C4F8/(noble gas) chemistry allowed fabrication of the desired SOI planar photonic crystal. The average etching rates for the pores and ridge waveguide regions were about 71 and 97 nm/min, respectively, while the average SiO2/Si/SiO2 to Cr etching selectivity for the ridge waveguide region was about 33:1 in case of the c-C4F8/90%Ar plasma with optimized parameters.  相似文献   

9.
The etching mechanism of (Bi4−xLax)Ti3O12 (BLT) thin films in Ar/Cl2 inductively coupled plasma (ICP) and plasma-induced damages at the etched surfaces were investigated as a function of gas-mixing ratios. The maximum etch rate of BLT thin films was 50.8 nm/min of 80% Ar/20% Cl2. From various experimental data, amorphous phases on the etched surface existed on both chemically and physically etched films, but the amorphous phase was thicker after the 80% Ar/20% Cl2 process. Moreover, crystalline “breaking” appeared during the etching in Cl2-containing plasma. Also the remnant polarization and fatigue resistances decreased more for the 80% Ar/20% Cl2 etch than for pure Ar plasma etch.  相似文献   

10.
Beta phase Gallium trioxide (β-Ga2O3) thin film was grown by metal organic chemical vapor deposition technology. Mixture gases of SF6 and Ar were used for dry etching of β-Ga2O3 thin film by inductively coupled plasma (ICP). The effect of SF6/Ar (etching gas) ratio on etch rate and film etching damage was studied. The etching rate and surface roughness were measured using F20-UN thin film analyzer and atomic force microscopy showing that the etching rate in the range between 30 nm/min and 35 nm/min with an improved surface roughness was obtained when the reactive mixed gas of SF6/Ar was used. The analysis of X-ray diffraction and transmission spectra further confirmed the non-destructive crystal quality. This work demonstrates that the properly proportioned mixture gases of SF6/Ar is suitable for the dry etching of β-Ga2O3 thin film by ICP and can serve as a guide for future β-Ga2O3 device processing.  相似文献   

11.
Plasma-induced damage of n-type GaN in Cl2/CH4/Ar reactants and its recovery by the O2/CHF3 plasma treatment in reactive ion etching (RIE) system were studied by etching rate, self-bias voltage and Hall measurement. RIE of n-type GaN was performed at a radio frequency power of 250 W in Cl2/CH4/Ar ambient prior to in the O2/CHF3 plasma treatment. The effect of O2/CHF3 plasma treatment on electrical characteristics of n-type GaN was investigated by changing the ratio of O2/CHF3 flow rate. It is found that the damage caused by conventional RIE processing could be partly recovered by CHF3/O2 plasma treatment.  相似文献   

12.
H3PO4, NaOH, and KOH solutions are found to be useful for removing nitrogen depleted layers or damage induced by high temperature annealing or dry etching of metalorganic chemical vapor deposition-grown (0001)GaN/Al2O3. Solutions are selective to the (0001)plane of GaN. However, certain flat planes etched without etch pits are exposed by wet etching.  相似文献   

13.
Experimental verification of a low temperature (<20 °C), reactive plasma etch process for copper films is presented. The plasma etch process, proposed previously from a thermochemical analysis of the Cu-Cl-H system, is executed in two steps. In the first step, copper films are exposed to a Cl2 plasma to preferentially form CuCl2, which is volatilized as Cu3Cl3 by exposure to a H2 plasma in the second step. Plasma etching of thin films (9 nm) and thicker films (400 nm) of copper has been performed; chemical composition of sample surfaces before and after etching has been determined by X-ray photoelectron and flame atomic absorption spectroscopies.  相似文献   

14.
Hydrogenated amorphous silicon carbide (a-SiC:H) deposited by PECVD is one of the most promising dielectric diffusion barrier available in Cu—Ultra low k interconnections due to its low dielectric constant and good barrier ability. In this work, the mechanical stress evolution with time of a-SiC:H film exposed to room atmosphere is studied and compared with the behavior observed on other PECVD dielectrics (SiN, SiO2, SiCN). For as-deposited a-SiC:H samples, a strong stress evolution with time toward compression is observed and the results are interpreted mainly in terms of surface reactivity and silanol buildup. Infrared spectroscopy analysis allows to confirm that the mechanical stress evolution and the OH content are linked. An oxidation of the hydrogenated amorphous silicon carbide film with time is also observed. Different plasma treatments (He, O2 or H2) are tested on a-SiC:H films to limit the stress drift with time. Each plasma treatments are able to limit the stress evolution of a-SiC:H films but the mechanisms are different in each case: densification of the film with He plasma treatment, formation of a dense oxide at the surface with O2 plasma treatment and passivation of dangling bonds with H2 plasma treatment.  相似文献   

15.
Porous silicon films obtained by the metal-assisted vapor-chemical etching technique have been characterized. For the film formation, epitaxial (100) N/P+, 1–5 Ω cm monocrystalline silicon wafers were used. The vapors of an alcoholic solution of H2O2/HF were drawn towards the silicon surface, which was previously covered with a thin layer of gold (~8 nm) for the catalytic etching. For the optical and morphological characterization of porous films, Raman spectroscopy, Ellipsometry, FTIR spectroscopy and SEM images were used. The films thickness kept a linear relationship with etching time. A porosity gradient from the surface towards the interface (65% to 12%) was observed in the films. A large amount of Si–H bonds as related to O–Si–O bonds were observed and the pore size depends on the HF concentration. Irregular morphology was found in films formed with 50% HF.  相似文献   

16.
The effects of Ar+ radiofrequency (RF) plasma pretreatment conditions on the interfacial adhesion energy of a Cu/Cr/Al2O3 system were investigated for thin-film capacitors in embedded printed circuit board applications. The interfacial adhesion energy was evaluated from 90 deg peel tests by calculating the plastic deformation energy of peeled metal films from the energy balance relationship during the steady-state peeling process. The interfacial adhesion energy was fivefold higher after RF plasma pretreatment of the surface of 50-nm-thick Al2O3 prepared by atomic layer deposition. Atomic force microscopy, Auger electron spectroscopy, and x-ray photoemission spectroscopy results clearly reveal that this increase can be attributed to both mechanical interlocking and chemical bonding effects.  相似文献   

17.
The dry etching of n-type silicon with p+ doped walls was studied with the cryogenic etching directly after the thermomigration process. The selectivity between n-type silicon and p+ doped silicon was first considered in SF6/O2 plasma. No selectivity was observed between these two zones. Thereafter, the capacity of the Al/Si eutectic alloy covered with a thin film of Al2O3 to play the role of hard mask for the etching was confirmed, always in the case of SF6/O2 plasma. Finally, the etching of 50 μm deep trenches through the Al/Si alloy was performed using three different types of process.  相似文献   

18.
Aerosol deposition (AD)-derived barium titanate (BTO) micropatterns are etched in SF6/O2/Ar plasmas using inductively coupled plasma (ICP) etching technology. The reaction mechanisms of the proposed sulfur hexafluoride on BTO thin films are verified through X-ray photoelectron spectroscopy (XPS) and static time-of-flight secondary ion mass spectrometry (ToF-SIMS) results. The exact peak positions and chemical shifts of Ba 3d, Ti 2p, O 1s, and F 1s are deduced by fitted XPS narrow-scan spectra on both the as-deposited and etched BTO surfaces. Compared to the as-deposited BTOs, the etched Ba 3d5/2, Ba 3d3/2, Ti 2p3/2, Ti 2p1/2, and O 1s peaks shift towards higher binding energy regions by amounts of 0.55, 0.45, 0.4, 0.35, and 0.76 eV, respectively. ToF-SIMS analysis is used to obtain elemental and molecular data for quantitatively studying the interaction between reactive gases and BTO. The combined use of these two techniques is to systematically investigate and analyze the sulfur hexafluoride-based BTO etching mechanisms.  相似文献   

19.
An experimental study has been carried out on the performance of n-type x = 0.31 HgCdTe photoconductive detectors in order to evaluate two different etching techniques; dry plasma etching, in the form of H2/CH4 reactive ion etching (RIE), and wet chemical etching using bromine in hydrobromic acid. Two-dimensional laser beam-induced current (LBIC) imaging was employed as an in-line process monitoring tool to evaluate the lateral extent of reactive ion etching (RIE) induced doping changes in the HgCdTe epilayer following mesa delineation. Responsivity and noise measurements were performed on fabricated mid-wavelength infrared (MWIR) photoconductive devices to evaluate the influence dry plasma etching has on material properties. For a signal wavelength of 3 μm, 60° field of view, and a temperature of 80 K, background limited D λ * performance was recorded for wet chemical processed devices but not for the dry plasma processed devices. The D λ * values obtained for wet chemical and dry plasma etched photoconductive detectors were 2.5×1011 cmHz1/2W−1 and 1.0×1010 cmHz1/2W−1, respectively. Mercury annealing, which has been shown to restore the electrical properties of dry plasma processed HgCdTe, could be used to lessen the influence that RIE dry plasma etching has on photoconductor detector performance.  相似文献   

20.
Chlorine-containing plasma and its mixtures with molecular and inert gases is often used to form the topology on the semiconductor surface. A comparative investigation of the surface quality of the semiconductor structure after plasma chemical etching in the plasma of mixtures HCl/Ar, HCl/Cl2, and HCl/H2 is performed. High etching rates in chlorine lead to numerous undesirable effects, while the plasma of hydrogen chloride and its mixtures makes it possible to perform etching with better process uniformity and purity. However, monitoring of the surface quality of the samples remains a topical problem of modern electronics. The surface of the samples was monitored using a Solver-P47Pro atomic-force microscope.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号