首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
With application to underlayer of strained Si film in mind, polycrystalline SiGe films were deposited by plasma chemical vapor deposition (PCVD) using a high-density surface wave-excited plasma in SiH4/GeH4/H2 gas. The atomic ratio of Si/Ge in the film was controlled by adjusting the gas flow rate ratio of SiH4/GeH4. The lattice spacing of the film was also controlled by the gas flow rate ratio. Polycrystalline SiGe film with large grain size of ∼ 200 nm and high crystallinity was successfully deposited by surface wave-excited plasma.  相似文献   

2.
Silicon dioxide films on strained Si1−x Ge x have been deposited by electron cyclotron resonance (ECR) plasma-enhanced chemical vapour deposition technique using tetraethylorthosilicate (TEOS) at room temperature. The deposition rate as a function of time and substrate temperature has been studied. MOS capacitors fabricated using deposited oxides have been used to characterize the electrical properties of silicon dioxide films. Deposited oxide film shows its suitability for microelectronic applications.  相似文献   

3.
The effects of temperature and pressure on the surface roughness and the grain size of poly-Si1–x Ge x films, and the effect of the initial surface state on the final film surface roughness and grain size, have been investigated. The deposition temperature and pressure were varied from 450 to 600 °C and from 1 to 50 Torr, respectively. The transition temperature from amorphous to polycrystalline during the deposition was about 525 °C for the Si0.46Ge0.54 alloy film and the average grain size of the film deposited at 600 °C and 3 Torr was measured approximately as 180 nm. As the temperature increased, the grain size and the rms (root mean square) surface roughness increased at constant pressure, whereas both were decreased with increasing pressure at constant temperature. The initial surface state of Si1–x Ge x film influenced the final film structure such as the surface roughness and grain size. The smooth surface was obtained at higher pressure and lower temperature.  相似文献   

4.
To meet increasing demands for chemical vapor deposition methods for high performance phase-change memory, cyclic plasma enhanced chemical vapor deposition of Sb and GexSby phase-change films and characterization of their properties were performed. Two cycle sequences were designed to investigate the role of hydrogen gas as a reduction gas during Sb film deposition. Hydrogen gas was not introduced into the reaction chamber during the purge step in cycle sequence A and was introduced during the purge step for cycle sequence B. The role of hydrogen gas was investigated by comparing the results obtained from these two cycle sequences and was concluded to exert an effect by a combination of precursor decomposition, surface maintenance as a hydrogen termination agent, and surface etching. These roles of hydrogen gas are discussed through consideration of changes in deposition rates, the oxygen concentration on the surface of the Sb film, and observations of film surface morphology. Based on these results, GexSby phase-change films were deposited with an adequate flow rate of hydrogen gas. The Ge and Sb composition of the film was controlled with the designed cycle sequences. A strong oxygen affinity for Ge was observed during the X-ray photoelectron spectroscopy analysis of Sb 3d, Sb 4d, and Ge 3d orbitals. Based on the XPS results, the ratios of Ge to Sb were calculated to be Ge0.32Sb0.68, Ge0.38Sb0.62, Ge0.44Sb0.56, Ge0.51Sb0.49 and Ge0.67Sb0.33 for the G1S7, G1S3, G1S2, G1S1, and G2S1 cycles, respectively. Crystal structures of Sb, Ge, and the GeSb metastable phase were observed with various GexSby film compositions. Sb crystallinity decreased with respect to Ge crystallinity by increasing the Ge fraction. A current-voltage curve was introduced, and an electro-switching phenomenon was clearly generated at a typical voltage, Vth. Vth values increased in conjunction with an increased proportion of Ge. The Sb crystallinity decrease and Vth increase were explained via the bonding characteristics of each element.  相似文献   

5.
The n-type doped silicon thin films were deposited by plasma enhanced chemical vapor deposition (PECVD) technique at high and low H2 dilutions. High H2 dilution resulted in n+ nanocrystalline silicon films (n+ nc-Si:H) with the lower resistivity (ρ ∼0.7 Ω cm) compared to that of doped amorphous silicon films (∼900 Ω cm) grown at low H2 dilution. The change of the lateral ρ of n+ nc-Si:H films was measured by reducing the film thickness via gradual reactive ion etching. The ρ values rise below a critical film thickness, indicating the presence of the disordered and less conductive incubation layer. The 45 nm thick n+ nc-Si:H films were deposited in the nc-Si:H thin film transistor (TFT) at different RF powers, and the optimum RF power for the lowest resistivity (∼92 Ω cm) and incubation layer was determined. On the other hand, several deposition parameters of PECVD grown amorphous silicon nitride (a-SiNx:H) thin films were changed to optimize low leakage current through the TFT gate dielectric. Increase in NH3/SiH4 gas flow ratio was found to improve the insulating property and to change the optical/structural characteristics of a-SiNx:H film. Having lowest leakage currents, two a-SiNx:H films with NH3/SiH4 ratios of ∼19 and ∼28 were used as a gate dielectric in nc-Si:H TFTs. The TFT deposited with the NH3/SiH4∼19 ratio showed higher device performance than the TFT containing a-SiNx:H with the NH3/SiH4∼28 ratio. This was correlated with the N−H/Si−H bond concentration ratio optimized for the TFT application.  相似文献   

6.
A mechanically robust infrared high-index coating material is essential to the infrared interference coatings. Lead germanium telluride (Pb1−x Ge x Te) is a pseudo-binary alloy of IV–VI narrow gap semiconductors of PbTe and GeTe. In our investigation, the hardness and Young’s modulus of thin films of Pb1−x Ge x Te, which were deposited on silicon substrates using electron beam evaporation, were identified by means of nanoindentation measurement. It is demonstrated that layers of Pb1−x Ge x Te have greater hardness and Young’s modulus compared with those of PbTe. These mechanical behaviors of layers can be linked to a ferroelectric phase transition from a cubic paraelectric phase to a rhombohedral, ferroelectric phase. Moreover, the strength loss in the layers of Pb1−x Ge x Te can be also explained in light of strong localized elastic-strain fields in concentrated solid solutions. In addition, it is observed that layers of Pb1−x Ge x Te are highly transparent and refractive in the mid- and long-wave infrared spectral range (~3–40 μm). A conclusion can be drawn that a mechanically robust infrared high-index layer can be obtained using Pb1−x Ge x Te as starting materials.  相似文献   

7.
This study examined the morphological and compositional changes that occur in oxidized poly-Si1−xGex film during electron-beam irradiation in a transmission electron microscope. Before irradiation, the oxide layer was composed of a mixture of SiO2 and GeO2 phases. However, during electron-beam irradiation, there were significant changes in the microstructure and elemental distribution. For the oxidized poly-Si0.6Ge0.4 films, the agglomeration of GeO2 was observed at the surface region. On the other hand, in the case of the oxidized poly-Si0.4Ge0.6 films, the crystallization of GeO2 occurred in the oxide layer. Ge lattice fringes and twinning were also observed in the oxide layer.  相似文献   

8.
Thin films (0.7–0.8 μm) of Bi2Sr2CaCu2Ox were deposited by low-pressure metallorganic chemical vapour deposition with a single aerosol source. The influence of the deposition parameters on the orientation of the films was studied. It was established that low deposition rate, high deposition temperature and the presence of the liquid phase resulted in films with predominant c-orientation.  相似文献   

9.
The Ge and Er depth profiles in Si1 – x Ge x layers grown on Si(100) substrates by Si sublimation-source molecular-beam epitaxy in GeH4 were studied by secondary ion mass spectrometry. The results demonstrate that Ge facilitates Er incorporation into the growing Si–Ge layer. The Er dopant profile becomes sharper with increasing Ge content. The Ge profile also has rather sharp boundaries, indicating that there is no Ge surface segregation, which is attributable to the presence of adsorbed hydrogen, acting as a surfactant.  相似文献   

10.
Based on morphological investigations, as well as on a study of the scanning patterns and diffraction spectra of the heterostructures GaAs-(Ge2)1−x (ZnSe)x, Ge-(Ge2)1−x (ZnSe)x, GaP-(Ge2)1−x (ZnSe)x, and Si-(Ge2)1−x (ZnSe)x, it is shown that the crystal perfection of these structures depends on the choice of the conditions of liquid-phase epitaxy. It is shown that mirror-smooth epitaxial layers of (Ge 2)1−x (ZnSe)x with the lowest stress levels can be obtained on GaAs (100) and Ge (111) substrates. Pis’ma Zh. Tekh. Fiz. 24, 12–16 (January 26, 1998)  相似文献   

11.
The effects of deposition parameters on the deposition rate, microstructure, and composition of Ge1−xCx thin films prepared by plasma enhanced chemical vapor deposition were studied and the films' infrared optical properties were investigated. The results show that the carbon content of these films increases as the precursor gas flow ratio of CH4:GeH4 increases, while the infrared refractive index of these films decreases from 4 to 2. The deposition rate increases with the radio-frequency power and reaches a constant value when the power goes above 60 W. Ge1−xCx/diamond-like carbon infrared antireflection coatings were prepared, and the transmittance of the coatings in the band of 8 to 14 μm was 88%, which is superior to that of Zinc Sulfide substrate by 14%.  相似文献   

12.
Solid phase reactions in Fe thin films on epi-Si0.8Ge0.2, poly-Si0.7Ge0.3, a-Si0.8Ge0.2, and a-Si0.7Ge0.3 layers on silicon have been investigated. The as-deposited samples were in situ annealed in the ultrahigh vacuum chamber at 400-800 °C for 30 min. The island structure was found to cause the abrupt increase in the sheet resistance of the annealed Fe/SiGe samples at 700-800 °C. The formation of FeSi islands containing a small amount of Ge is attributed to the preferential reactions of Fe with Si to Ge. As the annealing temperature was raised to 800 °C, the Fe(Si1−xGex) phase is the only phase found in the annealed Fe/epi-Si0.8Ge0.2 and Fe/poly-Si0.7Ge0.3 samples. On the other hand, at the annealing temperature above 700 °C, the β-Fe(Si1−xGex)2 phase was observed in the annealed Fe/a-Si0.8Ge0.2 and Fe/a-Si0.7Ge0.3 but the Fe(Si1−xGex) is still the dominant phase. The results indicate that the formation of Fe disilicide was retarded by the presence of Ge atoms.  相似文献   

13.
We have studied the process of reverse recovery of Si/Si1 − x Ge x heterodiodes fabricated by direct bonding of (111)-oriented n-type single crystal silicon wafers with p-type Si1 − x Ge x wafers of the same orientation containing 4–8 at. % Ge. An increase in the germanium concentration N Ge in p-Si1 − x Ge x layer is accompanied by a decrease in the reverse recovery time of heterodiodes. The presence of a sharp drop in the reverse current on the diode recovery characteristic can be explained by the existence of a narrow region with decreased minority carrier lifetime at the bonding interface (compared to carrier lifetime in the bulk), which is caused by the accumulation of misfit dislocations (generated by bonding (in this region). The results demonstrate the principal possibility of creating fast-recovery diodes based on the Si/Si1 − x Ge x heterosystem for high-power semiconductor devices manufactured using the direct bonding technology.  相似文献   

14.
We have explored the microstructure and local interface strain in the poly-Si1-xGex/SiO2/Si tri-layer system with ultrathin oxides. High-resolution transmission electron microscopy (HRTEM) and high-resolution X-ray diffraction rocking curves (HR-RC) and two-dimensional reciprocal space mapping (2D-RSM) were the main characterization tools. The poly-Si1-xGex/SiO2/Si structures have x=0, 0.2, and 0.35 for ultrathin oxides (2.0–3.0 nm). The result shows that for the adopted growth process, the poly grain size depends very strongly on the Ge concentration, and it increases with increasing Ge mole fraction. In turn, this increase of the grain size in the poly-Si1-xGex/SiO2/Si reduces the strain in the film, which then affects the interface strain at the lower SiO2/Si interface. In addition, the presence of defects at the SiO2/Si interface was found to be greater for samples with no local interface strain.  相似文献   

15.
Silicon oxide (SiOx) thin films have been deposited at a substrate temperature of 300 °C by inductively-coupled plasma chemical vapor deposition (ICP-CVD) using N2O/SiH4 plasma. The effect of N2O/SiH4 flow ratios on SiOx film properties and silicon surface passivation were investigated. Initially, the deposition rate increased up to the N2O/SiH4 flow ratio of 2/1, and then decreased with the further increase in N2O/SiH4 flow ratio. Silicon oxide films with refractive indices of 1.47-2.64 and high optical band-gap values (>3.3 eV) were obtained by varying the nitrous oxide to silane gas ratios. The measured density of the interface states for films was found to have minimum value of 4.3 × 1011 eV−1 cm−2. The simultaneous highest τeff and lowest density of interface states indicated that the formation of hydrogen bonds at the SiOx/c-Si interface played an important role in surface passivation of p-type silicon.  相似文献   

16.
The formation of thin TlGa1 − x Ge x X2 (X = S, Se, Te) films has been studied by high-energy electron diffraction. The results demonstrate that the dopant (Ge) concentration influences the structure of the films (30 nm in thickness). The epilayer-substrate orientation relationships are identified.  相似文献   

17.
Hydrogenated silicon nitride films were deposited with NH3, SiH4 and N2 gas mixture at 700 °C by rapid thermal chemical vapor deposition (RTCVD) system. The NH3/N2 flow ratio and deposition pressure are found to influence the film properties. The stress of SiNx:H films deposited by RTCVD is tensile, which can reach ~ 1.5 GPa in our study. The stress of SiNx:H films is dependent on the deposition parameters, which can be associated with chemical configuration of the film. It is suggested that the presence of hydrogen atoms will relax the Si-N network, which results in the decrease of tensile stress of the SiNx:H film.  相似文献   

18.
The formation of solid solutions of the type [Ba(HOC2H4OH)4][Sn1−x Ge x (OC2H4O)3] as BaSn1−x /Ge x O3 precursor and the phase evolution during its thermal decomposition are described in this paper. The 1,2-ethanediolato complexes can be decomposed to nano-sized BaSn1−x /Ge x O3 preceramic powders. Samples with x = 0.05 consist of only a Ba(Sn,Ge)O3 phase, whereas powders with x = 0.15 and 0.25 show diffraction patterns of both the Ba(Sn,Ge)O3 and BaGeO3 phase. The sintering behaviour was investigated on powders with a BaGeO3 content of 5 and 15 mol%. These powders show a specific surface area of 15.4–15.9 m2/g and were obtained from calcination above 800 °C. The addition of BaGeO3 reduced the sintering temperature of the ceramics drastically. BaSn0.95Ge0.05O3 ceramics with a relative density of at least 90% can be obtained by sintering at 1150 °C for 1 h. The ceramic bodies reveal a fine microstructure with cubical-shaped grains between 0.25 and 0.6 μm. For dense ceramics, the sintering temperature could be reduced down to 1090 °C, when the soaking time was extended up to 10 h.  相似文献   

19.
The fabrication of devices with lead salts and their alloys with detecting and lasing capabilities has been an important technological development. The high quality polycrystalline thin films of PbTe1−x S x with variable composition (0 ≤ x ≤ 1) have been deposited onto ultra clean glass substrates by vacuum evaporation technique. Optical, structural and electrical properties of PbTe1−x S x thin films have been examined. Absorption coefficient and band gap of the films were determined by absorbance measurements in wavelength range 2,500–5,000 nm using FTIR spectrophotometer. Sample nature, crystal structure and lattice parameter of the films were determined from X-ray diffraction patterns. DC conductivity and activation energy of the films were measured in temperature range 300–380 K through I–V measurements.  相似文献   

20.
Xiaowen Wu  Lanqin Yan 《Vacuum》2008,82(5):448-454
Ge1−xCx thin film was prepared by plasma-enhanced chemical vapor deposition (PECVD) using GeH4 and CH4 as precursors and its mechanical and environmental properties were investigated. The samples were measured by X-ray diffraction (XRD), X-ray photoelectron spectroscopy (XPS), Raman spectrum, FT-IR spectrometer, WS-92 testing apparatus of adhesion and FY-03E testing apparatus of salt and fog. The results show that the infrared refractive index of Ge1−xCx thin film varies from 2 to 4 with different x values. The adhesion increases with increasing gas flow ratio of GeH4/CH4 and decreases with increasing film thickness. The nanoindentation hardness number decreases with increasing germanium content. Three series films exhibit the best anti-corrosion property when the RF power is about 80 W, or substrate temperature is about 150 °C, or DC bias is about −100 V. Furthermore, increasing the gas flow ratio of GeH4/CH4 improves the anti-corrosion property of these films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号