首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A simple theory of current and potential distributions along resistive electrodes is re-examined and generalized for non-linearized Tafel behavior. A model of a passivating electrode is discussed and the generalized theory extended to derive expressions for the current and potential profiles along a partially passivated electrode. The relevant expressions permit a predictive analysis of the feasibility of using the electrochemical passivation method for etch-stop control in fabrication of thin, single crystal silicon structures produced by anisotropic deep etching.  相似文献   

2.
Nanoscale surface manipulation technique to control the surface roughness and the wettability is a challenging field for performance enhancement in boiling heat transfer. In this study, micro-nano hybrid structures (MNHS) with hierarchical geometries that lead to maximizing of surface area, roughness, and wettability are developed for the boiling applications. MNHS structures consist of micropillars or microcavities along with nanowires having the length to diameter ratio of about 100:1. MNHS is fabricated by a two-step silicon etching process, which are dry etching for micropattern and electroless silicon wet etching for nanowire synthesis. The fabrication process is readily capable of producing MNHS covering a wafer-scale area. By controlling the removal of polymeric passivation layers deposited during silicon dry etching (Bosch process), we can control the geometries for the hierarchical structure with or without the thin hydrophobic barriers that affect surface wettability. MNHS without sidewalls exhibit superhydrophilic behavior with a contact angle under 10°, whereas those with sidewalls preserved by the passivation layer display more hydrophobic characteristics with a contact angle near 60°.  相似文献   

3.
ABSTRACT: In this work a new approach for the one step integration of interdigitated electrodes on macroporous silicon substrates is presented. Titanium/gold interdigitated electrodes are used to pattern p-type silicon substrates prior the anodization in a organic electrolyte. The electrolyte characteristics, conductivity and pH, has been found to affect the adherence of the metal layer on the silicon surface during the electrochemical etching. The impact of the metal pattern on size distribution and morphology of the resulting macroporous silicon layer is analyzed. A formation mechanism supported by finite element simulation is proposed.  相似文献   

4.
ABSTRACT: A method for fabrication of three-dimensional (3D) silicon nanostructures based on selective formation of porous silicon using ion beam irradiation of bulk p-type silicon followed by electrochemical etching is shown. It opens a route towards the fabrication of two-dimensional (2D) and 3D silicon-based photonic crystals with high flexibility and industrial compatibility. In this work, we present the fabrication of 2D photonic lattice and photonic slab structures and propose a process for the fabrication of 3D woodpile photonic crystals based on this approach. Simulated results of photonic band structures for the fabricated 2D photonic crystals show the presence of TE or TM gap in mid-infrared range.  相似文献   

5.
Heidarzadeh  Hamid 《SILICON》2020,12(6):1369-1377

The paper investigates the influence of various geometrical parameters (thickness of intrinsic a-Si, gap distance, n-type and p-type stripes) and the temperature on the performance of heterojunction interdigitated back contact (HJ-IBC) solar cell. There exists a strong correlation between the gap distance and the width of the n-type or p-type of the amorphous silicon (a-Si) layer as well as the thickness of the a-Si layer and the performance of the HJ-IBC silicon solar cells. It is shown that the defined reduction efficiency rate of an HJ-IBC silicon solar cell is lower than the reduction efficiency rate of conventional silicon solar, suggesting a better performance in the outdoor condition of the HJ-IBC solar cells. It is also argued that a temperature-dependent free HJ-IBC solar cell can be realized by tuning the intrinsic layer thickness. Furthermore, the comparison between top/rear contact HJ and HJ-IBC solar cell shows that HJ-IBC has the best performance in outdoor condition.

  相似文献   

6.
《Electrochimica acta》2001,46(1-2):129-136
Because wet ultra-thin silicon oxides are extensively used in the microelectronic industry, we have investigated the growth of these oxides in various aqueous solutions using three main electrochemical techniques: (i) open circuit potential variation with time; (ii) linear voltammetry in a narrow range of potential; and (iii) electrochemical impedance spectroscopy under various polarisation potentials, to collect quantitative data regarding the growth kinetics of silicon oxide passivating layer, mainly at room temperature (r.t.). In oxidising alkaline solutions, the surface silicon oxide layer reached a limiting thickness value with time, related to oxidation/dissolution stationary behaviour. This observation was confirmed using ellipsometry. It was possible to reach with electrochemical techniques and ellipsometry the etching rate of the silicon substrate under the oxide layer in alkaline solution. Another interesting observation in this study was that the oxide layer showed a pronounced permeability to ions and oxidising agents in alkaline media, while this phenomenon vanished in acidic solutions.  相似文献   

7.
Electrochemical etching of single-crystal SiC rotating disk electrodes in fluoride solution was studied at pH 3. Anodic dissolution and passivation are observed for p-type electrodes in the dark and for n-type electrodes under illumination. The dissolution of p-type (0 0 0 1) 4H–SiC is found to be under mixed transport/kinetic control; the diffusion current is first order in fluoride concentration. Polishing of p-type electrodes can be achieved at rates up to 5.8 μm/min. Porous etching was not observed in this case. The surface finish of n-type (0 0 0 1) 4H and 6H–SiC depends on the experimental conditions; both uniform and porous etching are observed. The results are compared with those of Si under comparable conditions.  相似文献   

8.
讨论了锂离子电池充放电过程中有机电解液的电化学行为,研究发现,有机电解液会在电极活性材料表面发生电化学反应而形成聚合物钝化层(SEI膜),其厚度和疏密性与电解液的组成及充放电制度有关;其组成和电化学性能还将直接影响锂离子电池的充放电容量和循环寿命。通过改变电解液的导电锂盐成分、有机溶剂组成和加入极性添加剂等方法可优化电解液的电化学特性,从而可有效控制该钝化层的成膜过程、膜组成与膜结构,提高锂离子电池的充放电及循环性能。  相似文献   

9.
In a variety of applications where the electronic and optical characteristics of traditional, siliconbased materials are inadequate, recently researchers have employed semiconductors made from combinations of group III and V elements such as InAs. InAs has a narrow band gap and very high electron mobility in the near-surface region, which makes it an attractive material for high performance transistors, optical applications, and chemical sensing. However, silicon-based materials remain the top semiconductors of choice for biological applications, in part because of their relatively low toxicity. In contrast to silicon, InAs forms an unstable oxide layer under ambient conditions, which can corrode over time and leach toxic indium and arsenic components. To make InAs more attractive for biological applications, researchers have investigated passivation, chemical and electronic stabilization, of the surface by adlayer adsorption. Because of the simplicity, low cost, and flexibility in the type of passivating molecule used, many researchers are currently exploring wet-chemical methods of passivation. This Account summarizes much of the recent work on the chemical passivation of InAs with a particular focus on the chemical stability of the surface and prevention of oxide regrowth. We review the various methods of surface preparation and discuss how crystal orientation affects the chemical properties of the surface. The correct etching of InAs is critical as researchers prepare the surface for subsequent adlayer adsorption. HCl etchants combined with a postetch annealing step allow the tuning of the chemical properties in the near-surface region to either arsenic- or indium-rich environments. Bromine etchants create indium-rich surfaces and do not require annealing after etching; however, bromine etchants are harsh and potentially destructive to the surface. The simultaneous use of NH(4)OH etchants with passivating molecules prevents contact with ambient air that can occur during sample transfer between solutions. The passivation of InAs is dominated by sulfur-based molecules, which form stable In-S bonds on the InAs surface. Both sulfides and alkanethiols form well-defined monolayers on InAs and are dominated by In-S interactions. Sulfur-passivated InAs surfaces prevent regrowth of the surface oxide layer and are more stable in air than unpassivated surfaces. Although functionalization of InAs with sulfur-based molecules effectively passivates the surface, future sensing applications may require the adsorption of functional biomolecules onto the InAs surface. Current research in this area focuses on the passivation abilities of biomolecules such as collagen binding peptides and amino acids. These biomolecules can physically adsorb onto InAs, and they demonstrate some passivation ability but not to the extent of sulfur-based molecules. Because these adsorbents do not form covalent bonds with the InAs surface, they do not effectively block oxide regrowth. A mixed adlayer containing a biomolecule and a thiol on the InAs surface provides one possible solution: these hybrid surfaces enhance passivation but also maintain the presence of a biomolecule on the surface. Such surface functionalization strategies on InAs could provide long-term stability and make these surfaces suitable for biological applications.  相似文献   

10.
ABSTRACT: Porous silicon layers were elaborated by electrochemical etching of heavily doped p-type silicon substrates. Metallization of porous silicon was carried out by immersion of substrates in diluted aqueous solution of nickel. Amorphous silicon thin films were deposited by plasma-enhanced chemical vapor deposition on metalized porous layers. Deposited amorphous thin films were crystallized under vacuum at 750 [DEGREE SIGN]C. Obtained results from structural, optical, and electrical characterizations show that thermal annealing of amorphous silicon deposited on Ni-metalized porous silicon leads to an enhancement in the crystalline quality and physical properties of the silicon thin films. The improvement in the quality of the film is due to the crystallization of the amorphous film during annealing. This simple and easy method can be used to produce silicon thin films with high quality suitable for thin film solar cell applications.  相似文献   

11.
The structure and light-emitting properties of Si nanowires (SiNWs) fabricated by a single-step metal-assisted chemical etching (MACE) process on highly boron-doped Si were investigated after different chemical treatments. The Si nanowires that result from the etching of a highly doped p-type Si wafer by MACE are fully porous, and as a result, they show intense photoluminescence (PL) at room temperature, the characteristics of which depend on the surface passivation of the Si nanocrystals composing the nanowires. SiNWs with a hydrogen-terminated nanostructured surface resulting from a chemical treatment with a hydrofluoric acid (HF) solution show red PL, the maximum of which is blueshifted when the samples are further chemically oxidized in a piranha solution. This blueshift of PL is attributed to localized states at the Si/SiO2 interface at the shell of Si nanocrystals composing the porous SiNWs, which induce an important pinning of the electronic bandgap of the Si material and are involved in the recombination mechanism. After a sequence of HF/piranha/HF treatment, the SiNWs are almost fully dissolved in the chemical solution, which is indicative of their fully porous structure, verified also by transmission electron microscopy investigations. It was also found that a continuous porous Si layer is formed underneath the SiNWs during the MACE process, the thickness of which increases with the increase of etching time. This supports the idea that porous Si formation precedes nanowire formation. The origin of this effect is the increased etching rate at sites with high dopant concentration in the highly doped Si material.  相似文献   

12.
The electrochemical investigations of p- and n-silicon monocrystals were performed in anhydrous organic solutions of LiClO4, LiCl and HCl to examine the possibility of etching and passivation of silicon semiconductors. The results obtained by means of linear sweep voltammetry (LSV), potentiostatic and galvanostatic transient technique, as well as XPS surface analysis allow us to give an explanation of the mechanism of silicon dissolution in these media. Silicon dissolves in anhydrous organic solvents (methanol, N,N-dimethyloformamide, formamide) which contain chloride ions according to the consecutive two-step mechanism. The Si(II)ad intermediate inhibits the anodic dissolution at low overpotentials. The presence of this intermediate was confirmed by means of XPS measurements on potentiostatically etched surface. The increase in chloride concentration in organic solvents stimulates desorption of the intermediate and therefore increases the rate of surface etching. The best results in anodic etching of silicon monocrystals have been obtained in anhydrous HCl solutions. Microscopic observations of surface morphology of Si monocrystals after etching show anisotropy of anodic dissolution.  相似文献   

13.
We used an electrochemical method to etch cast binary titanium alloys in an attempt to show their dendritic structures.Studies showed that when an alloy could be activated in either sulphuric, oxalic or fluosilicic acid, its anodic polarization curve had the same general shape and passivation potential for all alloys independent of alloying element or concentration. Consequently, in acid solutions, electrochemical etching was conducted at a constant potential slightly less noble than the passivation potential where the anodic current density was near the maximum. Of the alloys examined, only Ti-15%Cr was etchable in a concentrated caustic solution and etching occurred only in the transpassive potential region. Under our conditions we were able to show the dendritic structure in alloys containing 15%Cr, Ta, or Mo; at lower concentrations, or in the case of the 15%Nb alloy, the dissolution rates of the dendritic and interdendritic materials were too similar to differentiate between them on the etched surface.  相似文献   

14.
For a comprehensive interpretation of the electrochemical processes occurring at a semiconductor/electrolyte interface, an accurate value of the flatband potential versus the reference electrode is needed together with the current/voltage response. The present work is mainly devoted to the analysis of impedance diagrams recorded with n- and p-type silicon electrodes in the dark, in a pure diluted HF solution under different bias values, the potential range being chosen so as the dc current is maintained at a low value, e.g. less than 5 μA cm−2, and even less than 10 nA cm−2 in the case of p-type Si under cathodic polarization.Two series of impedance diagrams were recorded. Firstly, the bias value was settled in the potential range where the depletion layer was generated within the semiconductor substrate. In this condition, the high resistance of the space charge region and the resulting low value of the dc current permitted to obtain well-defined semicircles leading to the derivation of both R and C values equivalent to the depletion layer charge distribution. The method based on the analysis of the voltage dependent R-value was compared to the usual Mott-Schottky plot treatment, and proved to be efficient for the determination of a reliable value of the flatband potential versus the reference electrode.In the second series, the analysis of the impedance diagrams was focused on the range of potentials corresponding to the onset of an accumulation layer within the semiconductor. In the case of p-Si, this condition corresponds to the rapid anodic etching of the silicon substrate. At a particular value of the bias, an important induction loop was observed in addition to the usual capacitive behaviour. A Fourier transform treatment demonstrated that the impedance components were interrelated to the time dependent current response under constant bias potential. Then, simultaneous experiments based on chronoamperometry measurements suggested that the electrochemical processes involved in the reaction of Si substrate with HF solution was correlated to a two-step charge transfer mechanism. This interpretation is valid for both the inductive loop obtained in electrochemical impedance spectroscopy and the rise of current versus time observed in chronoamperometry.  相似文献   

15.
The photoluminescence (PL) phenomena of porous silicon (PS) samples with different etching times were examined to find out a relationship between PL emission energy (experimental value of PS band gap energy) and the etching time for fabrication of double (two) layer porous silicon sample on one silicon substrate. The dependence of PL Peak energy with etching time was discussed. A double layer PS structure was formed by using two electrochemical reactions with different etching times of 20 and 10 min, respectively. The photovoltaic (PV) properties of mono layer and double layer porous silicon PV devices were examined and compared. The main result is the enhanced short-circuit current (Isc) of double layer PS structure compared to monolayer ones.  相似文献   

16.
Several silicon containing topresists for bilayer oxygen reactive ion etching systems were developed and examined. Each topresist consists of a silicon containing alkaline soluble copolymer and a 2-diazo-1-naphthalenone-4-sulphonic acid ester photoactive compond (PAC). Half micron structures in the topresist were obtained with two different resist systems through deep-UV (257 nm) or iline exposure. The etch rate ratios (planarizing layer:copolymer) vary between 7:1 and 13:1 under anisotropic etching conditions. Linewidth loss during oxygen RIE (reactive ion etching) pattern transfer is a problem for resists containing about 10% silicon, but equal lines and spaces after etching can be obtained through the use of thick topresists or linewidth bias in the topresist prior to etching.  相似文献   

17.
Porous silicon (PSi) exhibiting dual optical properties, both Fabry-Pérot fringe and photolumincence, was developed and used as chemical sensors. PSi samples were prepared by an electrochemical etch of p-type silicon under the illumination of 300-W tungsten lamp during the etch process. The surface of PSi was characterized by cold field-emission scanning electron microscope. PSi samples exhibited a strong visible orange photoluminescence at 610 nm with an excitation wavelength of 460 nm as well as Fabry-Pérot fringe with a tungsten light source. Both reflectivity and photoluminescence were simultaneously measured under the exposure of organophosphate vapors. An increase of optical thickness and quenching photoluminescences under the exposure of various organophosphate vapors were observed.  相似文献   

18.
A monolayer of methyl terminated hexadecyltrichlorosilane was self-assembled onto a p-type silicon (1 0 0) substrate to provide a resist for electrochemical anodisation with an atomic force microscope cantilever. Through precise control of the cantilever’s position on the surface and the applied bias voltage, a variety of different surface architectures have been fabricated on the substrate. Single-walled carbon nanotubes (SWCNT), with high carboxylic acid functionality, have been immobilised to these etched regions using a condensation reaction. Highly selective condensation has been shown to be possible, both directly onto etched silicon regions as well as with the use of amine terminated 3-aminopropyltriethoxysilane as a molecular anchor. This has enabled the controlled attachment of nanotubes on nanoscale features.  相似文献   

19.
We report the realization of graphene nanosheets by means of unzipping carbon nanotubes grown on silicon substrates. The formation of carbon nanotubes is possible with a gas mixture of methane and hydrogen in a direct-current plasma enhanced chemical vapor deposition reactor at a temperature of 700 °C. To avoid the undesired agglomeration of nickel islands as the catalyst layer, a hydrogen-assisted pre-treatment has been used. Vertically aligned CNTs are placed horizontally on a silicon substrate and unzipped using a sequential passivation and etching process in a reactive ion etching unit. A mixture of hydrogen, oxygen and SF6 gases are used to result in proper unzipping of horizontal CNTs. Scanning electron microscopy, transmission electron microscopy, atomic force microscopy and Raman spectroscopy have been exploited to investigate the physical properties of the grown nano-structures. In addition, the composition of the passivation layer has been examined using energy dispersive spectroscopy. Multilayered graphene sheets with a height of 3 nm have been obtained.  相似文献   

20.
An investigation into the electronic structure and phase composition of porous silicon surface layers with a developed structure of nanopores has been carried out using ultrasoft X-ray and X-ray photoelectron spectroscopy. Samples of porous silicon have been obtained on p-type substrates in various regimes of electrochemical etching.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号