首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 65 毫秒
1.
256级灰度LED点阵屏显示原理及基于FPGA的电路设计   总被引:2,自引:0,他引:2  
本文提出了一种LED点阵屏实现256级灰度显示的新方法。详细分析了其工作原理。并依据其原理,设计出了基于FPGA的控制电路。  相似文献   

2.
针对LCD显示屏温度适应性差、可视角度小、LCD的通用驱动电路实现的对比度较低等缺点,采用OLED作为显示器件,设计并实现了一种使用FPGA驱动OLED的显示系统。采用PIC16F690单片机作为微处理器控制整机时序,利用FPGA进行视频信号处理,完成格式转换、色空间处理以及隔行转逐行操作,最终实现驱动显示。系统的测试结果表明,该方案不仅能显著提高画面对比度,而且能稳定显示监控图像,为后继功能的拓展提供了平台。  相似文献   

3.
当前随着液晶显示技术的日趋成熟,各种液晶显示屏正在逐步取代CRT显示器.同时,各种中小规模的液晶显示屏广泛应用在各种公共场合和设备仪器上.如何驱动这些液晶屏的显示就摆在了设计者的面前.当前中小规模的液晶显示屏从接口方式上可以分为数字式和模拟式.数字屏用于红绿蓝三基色的接口引线比较多,可轻而易举地实现真彩显示,但其价格昂贵.模拟屏相对于数字屏,其价格要低得多,接口电路也相对简单,显示效果不如数字屏.但是,若采用一定的灰度算法,其显示效果将会接近同等级的数字屏.本文正是针对于这一设计理念,提出了一种模拟液晶屏256级灰度显示的新方法.详细分析了其工作原理,并依据原理设计出了其实现电路.  相似文献   

4.
介绍了OLED器件的功能、特性、控制逻辑、读写时序等.以一款新型的OLED器件VGG12864K作为显示终端,用嵌入式MCU开发的显示系统.论述了设计方法和设计过程中遇到的实际问题及解决的办法.如电路设计、软件编程、汉字显示实现等.  相似文献   

5.
OLED矩阵同步显示VGA视频的灰度控制研究   总被引:7,自引:7,他引:0  
研究探讨了有机电致发光显示器件(OLED,96RGB×64)矩阵同步显示VGA视频的灰度控制。设计采用“位平面加权”的方法重现灰度,利用对2组SRAM交替读写的方法进行同步缓冲,整个电路系统是基于ALTERA公司的可变程逻辑(FPGA)技术进行设计的。仿真结果表明,该方案能够实现预定目标,在OLED矩阵上实现多灰度、全彩色的同步VGA视频显示。  相似文献   

6.
基于OLED灰度显示的新方法   总被引:1,自引:0,他引:1  
李洪芹 《半导体技术》2007,32(11):948-950
介绍了有机发光二极管(OLED)技术特点、彩色实现方式和驱动方式.针对OLED灰度显示,介绍了实现灰度显示的两种常用方法即脉宽调制和帧灰度调制,并分析了各自的特点.在此基础上,尝试了一种新的方法,将二者结合起来共同实现灰度显示.这种方法不仅可以保持脉宽调制灰度显示的高速度,还可以保持帧灰度方式的均匀、稳定和足够的响应速度,而且可以大大提高灰度级别数.介绍了利用这种新方法实现OLED灰度显示数据的具体方式,该方法在硬件实现上简单易行,节约面积成本.  相似文献   

7.
无线OLED微显示器系统的设计与实现   总被引:5,自引:4,他引:1  
冉峰  何林奇  季渊 《液晶与显示》2012,27(5):633-637
针对现有微显示器系统采用硅基液晶(LCOS)带来的功耗、用户体验不佳,以及图像发送端和微显示器之间一般通过物理电线连接,或者采用传输效率不高的无线图像传输协议等问题,采用OLED微显示器和基于非压缩数据的无线图像数据传输协议WHDI的无线传输模块,利用FPGA EP2C8Q208C8作为核心控制器件,设计了主控制器以及OLED微显示器的驱动与控制模块,从而完成了整个无线OLED微显示器系统的设计,实现了高清图像的无线实时传输和显示。  相似文献   

8.
文章对OLED模块及其驱动芯片SSD1306、OLED的显示画面与显示存储器之间的关系、OLED写命令或写数据时序等进行了深入的研究与分析,揭示了OLED模块写命令或写数据的显示过程与工作原理,总结了OLED显示像素点的步骤,并根据所总结的步骤编写出显示程序代码,编译后把代码烧录到16位单片机STM32F103ZET6芯片上运行,成功实现OLED显示点线与汉字的功能,为后期深入图形显示以及其他类型显示屏的显示研究打下坚实的基础。  相似文献   

9.
在硅基OLED微显示器中,为了解决很小的像素驱动电流的难题,论文提出了一种像素电路。此像素电路由2个PMOS、2个NMOS、1个存储电容、1个OLED和4根信号线组成。并且利用HSPICE基于TSMC 0.35μm CMOS 5V工艺的参数进行了仿真验证。在此像素电路中,当OLED发光时流过OLED的电流是恒定的,并且通过控制OLED的发光时间来实现不同的灰度。此像素电路完全由数字信号控制,能实现精确的灰度调节。通过6个子场,实现了21级灰度,进而论证了实现64级灰度(0~63)的可能性。当OLED发光时,流过的恒定电流是35.3nA。  相似文献   

10.
基于FPGA的全彩色AM-OLED显示屏数字灰度方案   总被引:5,自引:0,他引:5  
用 FPGA设计了基于四分场的 2英寸 12 0× (16 0× 3)的全彩色 AM- OLED显示屏的数字灰度方案。仿真结果显示 ,该方案能够实现 16级灰度显示  相似文献   

11.
用FPGA实现OLED灰度级显示   总被引:18,自引:7,他引:11  
根据有机发光二极管 (OL ED)器件的发光特性 ,分析了 OL ED器件实现灰度级显示的机理 ,比较了 OL ED显示屏各种控制电路的问题 ,提出基于子场技术的设计方案。利用现场可编程门阵列 (FPGA)设计控制电路 ,实现单色 OL ED的灰度级显示。分别对 32 0× 2 4 0和 6 4 0× 4 80的 OL ED屏 ,对实现 16级灰度的设计方案进行仿真 ,得到各个控制信号的时序图 ,并对此仿真结果进行了分析。结果表明 ,FP-GA设计实现的控制电路 ,能够实现 OL ED所要求的灰度级显示。该控制电路可用于无源或有源选址的OL ED显示。  相似文献   

12.
傅勇  张雷  胡俊涛  吕国强 《电视技术》2011,35(15):91-93
针对奇晶公司生产的CMEL CO283QGLD-T型OLED显示模块的特性,以宏晶科技的STC11L60XE单片机为主控制器,进行OLED显示模块与单片机在SPI模式下的硬件接口设计和软件设计,并实现了分辨率为240×320的全彩图片静态显示。所设计的OLED驱动电路具有通用性,且可显示多种测试图片用于中小尺寸OLED的显示性能测试和评定。  相似文献   

13.
在FPGA下实现灵活的OLED灰度控制   总被引:3,自引:3,他引:0  
对OLED幅值灰度调制,空间灰度调制,脉宽、帧灰度调制技术进行了阐述。在多比例电流源条件下,通过控制电流权重,可在3个时间段内实现8级灰度控制,或4个时间段内实现16级灰度控制,与利用脉宽权重的灰度控制方法相比,缩短了扫描一场的时间,增加了扫描频率,在相同脉宽条件下,实现了较高灰度级别的控制,克服了OLED不能响应过窄脉冲的限制。通过在FPGA中对数据编码进行细分(脉宽权重与电流权重相结合的方法)或通过增加电流源并对数据重新编码的方式实现灵活多变的灰度控制。  相似文献   

14.
OLED面板的彩色显示驱动技术研究   总被引:2,自引:0,他引:2  
卢贵主 《半导体光电》2011,32(2):175-178
OLED(有机发光二极管)彩色化最常用的工艺方法之一是RGB象素独立发光法。在详细分析了各种材料的亮度稳定性和使用寿命后,得出OLED的白光材料具有更高的功率效率和稳定性,由RGB三色合成白光OLED会造成功率的浪费。据此提出了一种四色法:即发光材料除了红、绿、蓝(RGB)三色发光材料外,还有白色发光材料,由白OLED材料直接产生白光。文章首先介绍了这种方法的工作原理,然后对这种彩色OLED实现方式的驱动电路进行了详细的探讨。在保证原RGB三色显示的色彩和寿命的前提下,通过对每个RGB的电流进行换算,用RGBW四色实现了OLED的彩色显示。结果表明这种显示法在降低总的驱动功率的同时,还可对高分辨率面板的色彩平衡变化加以控制。  相似文献   

15.
基于FPGA的真三维显示器构建   总被引:1,自引:1,他引:0  
田丰  饶谦  张文俊 《电视技术》2011,35(22):25-28
通过介绍已制作的真三维显示器,解释了基于可视体素的显示技术.针对LED真三维显示分辨力较低的问题,采用了数字光投影的真三维显示方案,提出了基于FPGA的多机同步投影方法,实现了较高分辨力的空间可视体素显示.实验证明,该方法可保证真三维显示的实时性,降低系统对机械转台与光学元件的要求,为立体影像的互动提供了可靠的硬件基础...  相似文献   

16.
赵晶  李平  王丹 《液晶与显示》2006,21(1):67-72
根据有机发光二极管器件(OLED)的特性,利用单片机C8051F020和驱动芯片SSD1332,设计OLED的控制显示电路。从组成框图、硬件设计以及软件流程等几方面介绍了该OLED的驱动电路,给出了硬件接口电路图。利用该电路系统可以实现全彩色静态图片和动态图像显示,通过软件方式实现了产生动画和动画显示。在静态图片显示中,显示频率可以达到26.3Hz;在动态图像显示中,显示频率可以达到14.5Hz。利用单片机控制OLED的显示,可大大降低OLED彩色显示的成本,优化了OLED显示器件的驱动电路。  相似文献   

17.
邱欣  徐奕斐  余峰  黄浩  孙鸣 《液晶与显示》2006,21(5):571-573
根据有机发光二极管(OLED)的特性,利用解码模块和FPGA控制模块,设计OLED视频动态图像驱动控制电路。介绍了如何采用FPGA实现OLED视频显示控制电路的方法,分析了电路中各个模块的作用及整个电路的工作过程。从组成框图、硬件设计以及软件流程等几方面介绍了该OLED的驱动电路,给出了硬件接口电路图。其中,FPGA由硬件描述语言(VerilogHDL)设计了控制电路,从DVI接口获取动态图像,获得了能实时动态显示的3.8cm(1.5in)128×(128×3)全彩色PM-OLED显示屏显示。  相似文献   

18.
详细描述了一种集成在OLED显示驱动芯片中的双端口SRAM设计。从电路和版图两方面对SRAM的核心部分进行了详细描述,并且设计了一种用于灰度OLED显示驱动芯片的132×64×6bit的双端口SRAM。基于0.35μmCMOS工艺进行了芯片流片及测试,得到了正确的测试结果并已成功应用于一款OLED显示驱动芯片中。  相似文献   

19.
基于FPGA的液晶显示驱动IP核的实现   总被引:5,自引:7,他引:5  
王骞  丁铁夫 《液晶与显示》2005,20(4):324-327
基于FPGA,研究了液晶显示驱动方法,参照TFT-LCDCJM10C11的逻辑和时序要求设计了32×32可变宽度像素点的液晶显示驱动电路,用硬件描述语言VHDL编制了用于液晶显示驱动的IP核,该IP核用来实现可变显示坐标、可变像素宽度和可变灰度等级的液晶显示。经实验确认,该IP核占用1K30中的458个LE,在1K30-3芯片中,最高时钟频率为25.71MHz,能够完成液晶显示时序及控制方面的要求且控制灵活;能驱动大部分的TFT-LCD,通用性好;在外扩SRAM或SDRAM后即可应用于更大规模的液晶显示驱动,可移植性强,是用FPGA驱动TFT-LCD的一套较佳的解决方案。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号