首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
To investigate the applicability of the technique of barrier self-formation using Cu(Ti) alloy films on porous low-k dielectric layers, Cu(1 at.% Ti) alloy films were deposited on porous SiOCH (low-k) dielectric layers in samples with and without ~6.5-nm-thick SiCN pore seals. Ti-rich barrier layers successfully self-formed on the porous low-k layer of both sample types after annealing in Ar for 2 h at 400°C to 600°C. The Ti-rich barrier layers consisted of amorphous Ti oxides and polycrystalline TiC for the samples without pore sealing, and amorphous TiN, TiC, and Ti oxides for the pore-sealed samples. The amorphous TiN originated from reaction of Ti atoms with the pore seal, and formed beneath the Cu alloy films. This may explain two peaks of Ti segregation at the interface that appeared in Rutherford backscattering spectroscopy (RBS) profiles, and suggests that the Ti-rich barrier layers self-formed by the reaction of Ti atoms with the pore seal and porous low-k layers separately. The total molar amount of Ti atoms segregated at the interface in the pore-sealed samples was larger than that in the samples without pore sealing, resulting in lower resistivity. On the other hand, resistivity of the Cu alloy films annealed on the porous low-k layers was lower than that annealed on the nonporous low-k layers. Coarser Cu columnar grains were observed in the Cu alloy films annealed on the porous low-k layers, although the molar amount of Ti atoms segregated at the interface was similar in both sample types after annealing. The cause could be faster reaction of the Ti atoms with the porous dielectric layers.  相似文献   

2.
This study investigates the evolution of electrical and microstructural properties during thermal treatments of silver thin films deposited by wet chemistry on glass substrates. It aims to provide a link between the observed morphological and crystallographic changes based on in situ monitoring of the electrical resistivity evolution. The resistivity of the silver thin films was found to decrease after thermal treatments performed between 110°C and 250°C. This decrease is explained by the elimination of crystallographic defects, highlighted by the decrease of the full-width at half-maximum (FWHM) of the x-ray diffraction peaks, and by the absence of morphological changes for the same temperature interval. After thermal treatments above 250°C, further changes in FWHM and in resistivity are negligible. However, a change in microstructure appears through the formation of voids. Such void formation combined with the increase in the {111}/{200} ratio in samples annealed at temperatures above 250°C is explained by the onset of agglomera- tion.  相似文献   

3.
This investigation prepares a low-resistivity and self-passivated Cu(In) thin film. The dissociation behaviors of dilute Cu-alloy thin films, containing 1.5–5at.%In, were prepared on glass substrates by a cosputter deposition, and were subsequently annealed in the temperature range of 200–600 °C for 10–30 min. Thus, self-passivated Cu thin films in the form In2O3/Cu/SiO2 were obtained by annealing Cu(In) alloy films at an elevated temperature. Structural analysis indicated that only strong copper diffraction peaks were detected from the as-deposited film, and an In2O3 phase was formed on the surface of the film by annealing the film at an elevated temperature under oxygen ambient. The formation of In2O3/Cu/SiO2 improved the resistivity, adhesion to SiO2, and passivative capability of the studied film. A dramatic reduction in the resistivity of the film occurred at 500 °C, and was considered to be associated with preferential indium segregation during annealing, yielding a low resistivity below 2.92 μΩcm. The results of this study can be potentially exploited in the application of thin-film transistor–liquid crystal display gate electrodes and copper metallization in integrated circuits.  相似文献   

4.
Nickel oxide thin films were prepared by the sol–gel technique combined with spin coating onto glass substrates. The as-deposited films were pre-heated at 275 °C for 15 min and then annealed in air at different temperatures. The effects of the annealing temperature on the structural and optical properties of the films are studied. The results show that 600 °C is the optimum annealing temperature for preparation of NiO films with p-type conductivity and high optical transparency. Then, by using these optimized deposition parameters, NiO thin films of various thicknesses were deposited at the same experimental conditions and annealed under different atmospheres. Surface morphology of the films was investigated by atomic force microscopy. The surface morphology of the films varies with the annealing atmosphere. Optical transmission was studied by UV–vis spectrophotometer. The transmittance of films decreased as the thickness of films increased. The electrical resistivity, obtained by four-point probe measurements, was improved when NiO layers were annealed in N2 atmosphere at 600 °C.  相似文献   

5.
Copper (titanium) [Cu(Ti)] films with low titanium (Ti) concentration were found to form thin Ti-rich barrier layers at the film/substrate interfaces after annealing, which is referred to as self-formation of the barrier layers. This Cu(Ti) alloy was one of the best candidates for interconnect materials used in next-generation ultra-large-scale integrated (ULSI) devices that require both very thin barrier layers and low-resistance interconnects. In the present paper, in order to investigate the influences of annealing ambient on resistivity and microstructure of the Cu alloys, the Cu(7.3at.%Ti) films were prepared on the SiO2 substrates and annealed at 500°C in ultra-high vacuum (UHV) or argon (Ar) with a small amount of impurity oxygen. After annealing the film at 500°C in UHV, the resistivity was not reduced below 16 μΩ-cm. Intermetallic compounds of Cu4Ti were observed to form in the films and believed to cause the high resistivity. However, after subsequently annealing in Ar, these compounds were found to decompose to form surface TiO x and interfacial barrier layers, and the resistivity was reduced to 3.0 μΩ-cm. The present experiment suggested that oxygen reactive to titanium during annealing played an important role for both self-formation of the interfacial barrier layers and reduction of the interconnect resistivity.  相似文献   

6.
We have studied the structural, optical, and electrical properties of thermally evaporated, Cu-doped, ZnTe thin films as a function of Cu concentration and post-deposition annealing temperature. X-ray diffraction measurements showed that the ZnTe films evaporated on room temperature substrates were characterized by an average grain size of 300Å with a (111) preferred orientation. Optical absorption measurements yielded a bandgap of 2.21 eV for undoped ZnTe. A bandgap shrinkage was observed for the Cu-doped films. The dark resistivity of the as-deposited ZnTe decreased by more than three orders of magnitude as the Cu concentration was increased from 4 to 8 at.% and decreased to less than 1 ohm-cm after annealing at 260°C. For films doped with 6–7 at.% Cu, an increase of resistivity was also observed during annealing at 150–200°C. The activation energy of the dark conductivity was measured as a function of Cu concentration and annealing temperature. Hall measurements yielded hole mobility values in the range between 0.1 and 1 cm2/V·s for both as-deposited and annealed films. Solar cells with a CdS/CdTe/ZnTe/metal structure were fabricated using Cudoped ZnTe as a back contact layer on electrodeposited CdTe. Fill factors approaching 0.75 and energy conversion efficiencies as high as 12.1% were obtained.  相似文献   

7.
ZnS:Na thin films with (111) preferred orientation were deposited on glass substrates by vacuum evaporation method. The as-prepared films were annealed in flowing argon at 400--500 ℃ to improve the film crystallinity and electrically activate the dopants. The structural, optical and electrical properties of ZnS:Na films are investigated by X-ray diffrac- tion (XRD), photoluminescence (PL), optical transmittance measurements and the four-point probe method. Results show that the as-prepared ZnS:Na films are amorphous, and exhibit (111) preferred orientation after annealing at 400 --500 ℃. The PL emissions at 414 nm and 439 nm are enhanced due to the increase of the intrinsic defects induced by the thermal annealing. However, all the samoles exhibit high resistivitv due to the heavy self-compensation.  相似文献   

8.
Silicon oxide thin films have been formed by use of the reaction between spin-coated silicone oil and ozone gas at atmospheric pressure and low temperature (250°C). Films formed at this temperature contained Si–OH bonds, owing to inadequate dehydration. To remove the Si–OH bonds at low temperature, the sample was dipped in ethanol at room temperature for 15 min then annealed on a hot plate at 250°C in methanol gas for 30 min. This treatment effectively dissociated the Si–OH bonds. It is believed the Si–OH bonds are replaced by Si-OCH3 bonds during the alcohol-assisted annealing. The leakage current of the metal-oxide-semiconductor after alcohol-assisted annealing was improved and the hysteresis width was reduced. This indicated that the number of trap sites owing to Si–OH bonds was reduced.  相似文献   

9.
AlN films deposited on SiC or sapphire substrates by pulsed laser deposition were annealed at 1200°C, 1400°C, and 1600°C for 30 min in an inert atmosphere to examine how their structure, surface morphology, and substrate-film interface are altered during high temperature thermal processing. Shifts in the x-ray rocking curve peaks suggest that annealing increases the film density or relaxes the films and reduces the c-axis Poisson compression. Scanning electron micrographs show that the AlN begins to noticeably evaporate at 1600°C, and the evaporation rate is higher for the films grown on sapphire because the as-deposited film contained more pinholes. Rutherford backscattering spectroscopy shows that the interface between the film and substrate improves with annealing temperature for SiC substrates, but the interface quality for the 1600°C anneal is poorer than it is for the 1400°C anneal when the substrate is sapphire. Transmission electron micrographs show that the as-deposited films on SiC contain many stacking faults, while those annealed at 1600°C have a columnar structure with slightly misoriented grains. The as-deposited films on sapphire have an incoherent interface, and voids are formed at the interface when the samples are annealed at 1600°C. Auger electron spectroscopy shows that virtually no intermixing occurs across the interface, and that the annealed films contain less oxygen than the as-grown films.  相似文献   

10.
Transparent conducting gallium-doped ZnO films are deposited on glass substrates by magnetron sputtering of conducting ceramic targets. The dependences of structural, electric, and optical characteristics of ZnO:Ga films on the substrate temperature are investigated during the deposition. Stability of resistivity of films is considered during annealing in air. It is found that the films deposited at the substrate temperature of 250°C have the lowest resistivity of 3.8 × 10−4 Ω cm, while those deposited at 200°C have the highest thermal stability.  相似文献   

11.
Experimental investigations of the substrate deposition temperature and annealing temperature influence on aluminum films deposited on diamond substrates were conducted. Tests were performed at direct current and at 101.55 GHz. Minimum resistivity levels, near theoretical predictions, occurred for deposition temperatures in the range of 50–160°C and for peak annealing temperatures of 100–120°C. Both colder and hotter substrate temperatures resulted in larger resistivity levels.  相似文献   

12.
In this study, aluminum-doped ZnO (AZO) thin films were prepared by a sol–gel with spin coating process. The AZO films were annealed by a two-step process. The films were first annealed in air or nitrogen at 500°C for 3 h, followed by annealing in three types of ambient, i.e., vacuum (10?3 Torr or 10?6 Torr) or forming gas (10% H2/90% N2), at 500°C for 4 h. The effect of the annealing ambient on the microstructure, electrical and optical properties of the AZO films was explored by x-ray diffraction, field-emission scanning electron microscopy, four-point probe sheet resistivity measurements, Hall voltage measurements, and ultraviolet–visible spectroscopy. The results showed that the size of AZO particulates in the films was determined mainly by the first annealing step. The films annealed in air in the first step were composed of larger AZO particulates than those annealed in nitrogen. The conductivities of the AZO films were significantly increased by the second annealing step. Second annealing in a high-vacuum system (10?6 Torr) led to the highest AZO film conductivity among the three ambients. Regardless of the various annealing processes, the films remained transparent under visible light and exhibited a sharp absorption edge in the ultraviolet region. The highest conductivity, i.e., 168 S cm?1, was obtained from films annealed first in air and then in vacuum of 10?6 Torr.  相似文献   

13.
Highly dispersive Cu2ZnSnS4 (CZTS) nanoparticles were successfully synthesized by a simple solvothermal route. A low cost, non-vacuum method was used to deposit CZTS nanoparticle ink on glass substrates by a doctor blade process followed by selenization in a tube furnace to form Cu2ZnSn (S,Se)4 (CZTSSe) layers. Different selenization conditions and particle concentrations were considered in order to improve the crystallinity and surface morphology; the annealing temperature was varied between 400°C and 550°C and the annealing time was varied between 5 min and 20 min in a selenium-nitrogen atmosphere. The influence of annealing conditions on structural, compositional, optical and electrical properties of CZTSSe thin films was studied. An improvement in the structural and surface morphology was observed with increasing of annealing temperature (up to 500°C). An enhancement in the crystallinity and surface morphology were observed for thin films annealed for 10–15 min. Absorption study revealed that the band gap energy of as-deposited CZTS thin film was approximately 1.43 eV, while for CZTSSe thin films it ranged from 1.15 eV to 1.34 eV at different annealing temperatures, and from 1.33 eV to 1.38 eV for different annealing times.  相似文献   

14.
Nanocrystalline CdO thin films were prepared onto a glass substrate at substrate temperature of 300 °C by a spray pyrolysis technique. Grown films were annealed at 250, 350, 450 and 550 °C for 2.5 h and studied by the X-ray diffraction, Hall voltage measurement, UV-spectroscopy, and scanning electron microscope. The X-ray diffraction study confirms the cubic structure of as-deposited and annealed films. The grain size increases whereas the dislocation density decreases with increasing annealing temperature. The Hall measurement confirms that CdO is an n-type semiconductor. The carrier density and mobility increase with increasing annealing temperature up to 450 °C. The temperature dependent dc resistivity of as-deposited film shows metallic behavior from room temperature to 370 K after which it is semiconducting in nature. The metallic behavior completely washed out by annealing the samples at different temperatures. Optical transmittance and band gap energy of the films are found to decrease with increasing annealing temperature and the highest transmittance is found in near infrared region. The refractive index and optical conductivity of the CdO thin films enhanced by annealing. Scanning electron microscopy confirms formation of nano-structured CdO thin films with clear grain boundary.  相似文献   

15.
The thermal annealing behavior of Cu films containing insoluble 2.0 at. % Mo magnetron co-sputtered on Si substrates is discussed in the present study. The Cu-Mo films were vacuum annealed at temperatures ranging from 200°C to 800°C. X-ray diffraction (XRD) and scanning electron microscopy (SEM) observations have shown that Cu4Si was formed at 530°C, whereas pure Cu film exhibited Cu4Si growth at 400°C. Twins are observed in focused ion beam (FIB) images of as-deposited and 400°C annealed, pure Cu film, and these twins result from the intrinsically low stacking-fault energy. Twins appearing in pure Cu film may offer an extra diffusion channel during annealing for copper silicide formation. In Cu-Mo films, the shallow diffusion profiles for Cu into Si were observed through secondary ion mass spectroscopy (SIMS) analysis. Higher activation energy obtained through differential scanning calorimetry (DSC) analysis for the formation of copper silicide further confirms the beneficial effect of Mo on the thermal stability of Cu film.  相似文献   

16.
Indium tin oxide (ITO) thin film was deposited on glass substrate by means of vacuum evaporation technique and annealed at 200 °C, 300 °C and 400 °C in air for 1 h. The characterization and properties of the deposited film samples were analyzed by X-ray diffraction (XRD), scanning electron microscopy (SEM), and UV-VIS-NIR spectroscopy techniques. From the XRD patterns, it was found that the deposited thin film was of crystalline at an annealing temperature of 400 °C. The crystalline phase was indexed as cubic structure with lattice constant and crystallite size of 0.511 nm and 40 nm, respectively. The SEM images showed that the films exhibited uniform surface morphology with well-defined spherical grains. The optical transmittance of ITO thin film annealed at 400 °C was improved from 44% to 84% in the wavelength range from 250 nm to 2 100 nm and an optical band gap was measured as 3.86 eV. Hall effect measurement was used to measure the resistivity and conductivity of the prepared film.  相似文献   

17.
The present communication reports the effect of thermal annealing on the physical properties of In2S3 thin films for eco-friendly buffer layer photovoltaic applications. The thin films of thickness 150 nm were deposited on glass and indium tin oxide (ITO) coated glass substrates employing thermal vacuum evaporation technique followed by post-deposition thermal annealing in air atmosphere within a low temperature range 150–450 °C. These as-deposited and annealed films were subjected to the X-ray diffraction (XRD), UV–vis spectrophotometer, current–voltage tests and scanning electron microscopy (SEM) for structural, optical, electrical and surface morphological analysis respectively. The compositional analysis of as-deposited film is also carried out using energy dispersive spectroscopy (EDS). The XRD patterns reveal that the as-deposited and annealed films (≤300 °C) have amorphous nature while films annealed at 450 °C show tetragonal phase of β-In2S3 with preferred orientation (109) and polycrystalline in nature. The crystallographic parameters like lattice constant, inter-planner spacing, grain size, internal strain, dislocation density and number of crystallites per unit area are calculated for thermally annealed (450 °C) thin films. The optical band gap was found in the range 2.84–3.04 eV and observed to increase with annealing temperature. The current–voltage characteristics show that the as-deposited and annealed films exhibit linear ohmic behavior. The SEM studies show that the as-deposited and annealed films are uniform, homogeneous and free from crystal defects and voids. The grains in the thin films are similar in size and densely packed and observed to increase with thermal annealing. The experimental results reveal that the thermal annealing play significant role in the structural, optical, electrical and morphological properties of deposited In2S3 thin films and may be used as cadmium-free eco-friendly buffer layer for thin films solar cells applications.  相似文献   

18.
采用磁控反应共溅射方法制备了纳米Ta-Al-N薄膜,并原位制备了Cu/Ta-Al-N薄膜,对薄膜进行了热处理。用四探针测试仪、X射线衍射仪(XRD)、扫描电镜(SEM)、原子力显微镜(AFM)以及台阶仪等研究了退火对薄膜结构及阻挡性能的影响。结果表明,Ta-Al-N薄膜具有优良的热稳定性,保持非晶态且能对Cu有效阻挡的温度可达800°C;同时发现在900°C退火5 min后,薄膜开始晶化,在Cu/Ta-Al-N/Si界面处生成了Cu3Si等相,表明此时Ta-Al-N薄膜阻挡层开始失效。  相似文献   

19.
This paper reports the optimization of physical properties of cadmium telluride (CdTe) thin films with the application of thermal treatment. The films of thickness 650 nm were deposited on glass and indium tin oxide (ITO) coated glass substrates employing vacuum evaporation followed by thermal annealing in the temperature range 250–450 °C. The films were characterized using X-ray diffraction (XRD), source meter and atomic force microscopy (AFM) for structural, electrical and surface topographical properties respectively. The X-ray diffraction patterns reveal that films are polycrystalline with predominant zinc-blende structure having preferred reflection (111). The structural parameters are calculated and discussed in detail. The current–voltage characteristics show Ohmic behavior and the electrical conductivity is found to increase with annealing treatment. The AFM studies show that the surface roughness of films is observed to increase with annealing. The experimental results reveal that the thermal annealing plays an important role to enhance the physical properties of CdTe thin films and annealed films may be used as absorber layer in CdTe/CdS solar cells.  相似文献   

20.
The effect of annealing in an O2 ambient on Cu(Mg)/SiO2/Si multilayer films was investigated. As-deposited Cu(Mg)/SiO2/Si multilayer samples with film thicknesses in the 1,000–3,000 ? range were annealed for 30 min in oxygen ambients at pressures ranging from vacuum to 100 mtorr. The results showed that annealing in an 8-mtorr O2 ambient significantly decreased the electrical resistivity of a 1,000 ? sample from 10.5 μΩ-cm to 3.7 μΩ-cm. Annealing in the O2 ambient enhanced Mg diffusion to the surface in comparison to vacuum annealing. Furthermore, O2 ambient annealing leads to excessive grain growth. However, the effect of O2 ambient annealing on resistivity is less when the thickness of the film increases.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号