首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
设计了一种基于FPGA的视频字符叠加系统,利用视频解编码芯片和FPGA对视频数据进行采集和处理,生成所需的带字符的视频.介绍了系统的硬件构成、YUV数字视频信号、PC控制、视频字符叠加的原理和具体的程序设计思想,并对其中的难点进行了详细分析.本设计可以在视频的任意位置叠加字符和图像,内容变动时容易修改.  相似文献   

2.
实现了视频字符叠加模块,提出了几个在功能实现时应该注意的问题,其特点是可以在视频的任意位置叠加字符和图像,内容变动时容易修改.并且基于Nios Ⅱ高性能、参数可配置、可移植、灵活性、实用性等特点,用Nios Ⅱ来替代串口的功能,给FPGA发送指令或数据以控制图像显示并方便功能调试.  相似文献   

3.
张通  任文平  肜清 《电子技术》2012,39(4):60-62
文章介绍了基于NiosⅡ的SOPC的通用字符显示电路的设计,通过实时读取点阵字库信息并输出到VGA端口的方法实现。与传统方法相比,具有简单易行、便于二次开发的特点。以本方法进行了电子万年历的设计,并在基于EP2C35F672C6的DE2开发板上下载验证,结果表明本方法切实可行,适合于实时信息显示。  相似文献   

4.
李国兴  杨芳 《电子科技》2013,26(2):22-24,27
设计实现一种基于FPGA的视频采集显示系统,包括视频图像的采集、处理与显示3个部分。视频图像部分采用CCD摄像头OV7670作为视频数据的采集,利用在FPGA中构建FIFO并配合SDRAM高速读写实现视频图像数据的高速缓存处理,使用FPGA中构建的Nios II嵌入式内核,实现对SDRAM的控制以及视频数据的TFT液晶实时显示。整个系统获得了较好图像采集、显示效果。  相似文献   

5.
裴建茹  李伟 《电视技术》2008,32(2):90-93
为了解决传统嵌入式网络视频监控系统处理和传输大量图像数据时速度较慢、结构复杂和成本过高的问题.设计了一种低成本嵌入式网络视频监控系统,采用FPGA的NiosⅡ双CPU架构,自定义硬件模块实现H.264视频数据压缩编码,能很好地满足视频监控系统实时性要求.  相似文献   

6.
介绍了基于专用视频叠加芯片MB90092实现字符叠加的系统结构及外围电路实现原理和方法,给出了该字符叠加系统的详细硬件电路和软件结构.该系统具有电路简单、性能稳定、使用方便的优点.  相似文献   

7.
基于MAX7456的字符叠加系统设计   总被引:1,自引:0,他引:1  
介绍了基于字符叠加器件MAX7456的字符叠加系统的结构,给出了该字符叠加系统的详细硬件设计和软件编程,并对显示字符的创建方法进行了阐述.该系统可以为手持设备提供必要的提示信息,作为数据解算的依据;并且可以有效地防止高科技人员在视频监视端对监控头及线路的造假行为,保证远程监控的真实性和可靠性.系统具有硬件结构简单,使用方便,成本较低等优点.  相似文献   

8.
为实现TFT-LCD显示控制器的SOPC-IP设计,选择FPGA-EP4CE6F17C8作为设计验证平台,采用verilog语言,针对全彩AT070TN84TFT-LCD,由Nios II软核处理器、SDRAM控制器、JTAG UART、LCD控制器、Avalon总线等组成TFT-LCD控制器。以Nios II软核处理器为核心,各IP核(如SDRAM控制器、TFT-LCD控制器等)通过Avalon总线相连接到Nios II上,并通过Avalon总线接口模块、DMA模块、FIFO模块和时序产生模块完成了TFT-LCD控制器IP核设计,实现800×480分辨率,16bit颜色深度的彩色图形显示控制。显示实验运行稳定,图像清晰,色彩丰富,无闪屏、错行等现象,视觉效果良好,设计具有良好的可配置性、复用性和移植性。实践证明该设计行之有效。文中给出了控制器的设计原理、实现方法、仿真与实验过程的同时,重点讲述与控制器IP核相关的各设计环节。  相似文献   

9.
视频字符叠加技术的研究及应用   总被引:1,自引:0,他引:1  
王革思  商玉江 《信息技术》2004,28(10):54-55
介绍了字符建模方法和视频同步信号提取方法,并在分析视频字符叠加技术原理的基础上提出视频与字符叠加的三种电路设计方案,给出采用uPD6453芯片设计的电路原理框图。  相似文献   

10.
随着在屏显示技术的广泛应用,OSD设备倍受青睐。设计了一种以DSP5509为核心,通过串口与下位机的通信,基于专用OSD(On Screen Display)芯片IA6459的视频字符叠加系统。系统主要由控制,视频字符叠加和上位机通信3部分组成。控制部分以DSP5509为核心组成,视频字符叠加部分以IA6459为核心组成,上位机通信部分使用Vb编写可视化用户界面。经过实际系统验证,可以无损的在视频上叠加所需字符。  相似文献   

11.
基于MB90092的视频字符叠加系统的设计   总被引:5,自引:0,他引:5  
肖要强  王玲 《电视技术》2004,(6):72-73,79
基于MB90092芯片设计了视频字符叠加系统,以此为基础论述了MB90092的软件设计方法.该方案用于设计视频矩阵切换系统中已取得了良好的效果.  相似文献   

12.
Strahx是Altera公司推出的面向高带宽系统的可编程逻辑器件.该系列器件具有非常高的内核性能、存储能力、架构效率和及时面市的优势.它提供了专用的时钟管理功能和数字信号处理(DSP)应用及多种I/O标准.此外,Stratix器件还具有片内匹配和远程系统升级能力.设计系统中采用的EP1S40型器件是其代表之一,文中介绍了该器件的新特性,给出在视频字符叠加中的应用.  相似文献   

13.
雷松华  郑铿 《电子世界》2014,(2):119-120
对触点位置坐标识别与输入是触摸液晶屏的重要功能。由于SOPC Builder系统没有提供TRDB-LTM触摸屏的驱动,因而提出了一种基于Avalon总线的TRDB-LTM触控IP核的设计方法。实现TRDB-LTM触控屏触碰位置坐标的准确录入。给出系统硬、软件设计过程。经测试,所设计的IP核能够准确快速的识别触碰位置坐标,工作稳定可靠。  相似文献   

14.
在监控系统中,常常需要在原始视频中叠加上一些字符信息,以便事后分析判读。本文提出了一种基于UPD6464的视频字符叠加电路设计。详细介绍了字符叠加的原理、字符叠加电路的具体设计、显示信息的远程通信。通过多次实验,证明本文提出的字符叠加电路具有实现简单、工作可靠、屏幕显示信息可通过远距离高速传输到字符叠加电路上且传输信号抗干扰性强的特点。  相似文献   

15.
针对目前市面上的视频叠加系统大多采用专用字符叠加芯片设计而成,整个系统适应性差,显示的十字线或字符精度和灵活性不够,不能根据实时需要在图像任意方位叠加各种精度的信息,文中介绍了基于ALTERASOPC技术的视频叠加器设计,详细地分析片上系统各个组成部分工作原理及设计思路,作为一个新型的视频叠加器,它具有灵活性强、体积小、功耗低等特点。  相似文献   

16.
陈毅  张殿富 《电子科技》2010,23(12):45-48
根据视频图像电子叠加原理,完成了屏幕存储映射方式的电子十字分划线及提示符的叠加。该系统由STC12C5608单片机、MAX7456视频叠加芯片、电源电路组成。外围电路简单,实现了叠加图像与场景图像同显、十字分划位置可控二维满屏移动,便于在轻武器上安装使用。该系统在武装机动平台控制系统上得到应用。  相似文献   

17.
本文介绍了一种基于CPLD的字符叠加器,该叠加器以CPLD为核心,能够提高系统设计的灵活性和集成度,并辅以微控制器作为控制,有效实现了字符信息和视频信号的叠加。文中详细给出了硬件设计逻辑及软件控制流程,该叠加器适用于视频监控等领域。  相似文献   

18.
孙新明  赵平  安振庄 《电子工程师》2002,28(2):12-13,47
介绍了台湾Trumpion公司的平板显示控制芯片T0944及OSD芯片T8570,并给出了基于这两种芯片的平板显示和OSD(On-Screen Display)解决方案。  相似文献   

19.
根据模拟图像显示原理,描述了利用CPLD实现字符叠加控制的技术,提出了实现CRT字符叠加控制的工程解决方案,即单片机与CPLD控制实现,并给出了CPLD读/写控制器时序仿真结果.  相似文献   

20.
经过多年的发展,我院在本科生PLD教学方面已经打下较好的基础,自主开发的实验平台以及系统的综合性实训实验已在教学中得到应用.为进一步提高学生的PLD设计和开发能力,本文设计了基于PLD的VGA显示器字符显示实验.该实验综合了目前应用较广的VGA显示接口、PS/2键盘接口和RAM/ROM读写接口,对学生理解和掌握PLD时序设计有良好的帮助.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号