首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In contact UV lithography, a pair of cantilever beams fabricated by two inclined exposures at ±45° in SU-8 using a single mask will form a connected end on the top of SU-8 layer. These beams made of SU-8 with fixed-end have been used as optical fiber holders (Ling and Lian in Microsyst Technol 13(3–4):245–251, 2007). Recently, a two-mask, two-step process to fabricate free-end cantilever beams from SU-8 using inclined UV lithography has been developed (Ling et al. in Microsyst Technol 15(3):429–435, 2009), which has been successfully applied to fabricate SU-8 optical fiber holders with long free-end cantilever beams. In this process, two masks are needed in order to obtain free-end beams and the alignment between two exposures is always time consuming with limited accuracy. Two new techniques, inclined UV shadow mask lithography and inclined UV proximity lithography, have been illustrated here for fabricating free-end SU-8 cantilever beams, which eliminate the precise alignment step required in our previous work (Ling et al. in Microsyst Technol 15(3):429–435, 2009). In the inclined UV shadow mask lithography approach, the SU-8 cantilever beams without connected ends are formed by using one main mask and two shadow masks. Each shadow mask is used to selectively transfer one of the two separated patterns on main mask into SU-8 layer at +45° and −45°, respectively. In the inclined UV proximity lithography approach, a proper proximity gap between mask and SU-8 surface is obtained by using a 50 μm thick Mylar sheet, so that the exposing light paths that formed connected beam ends will fall inside the proximity layer instead of the SU-8. In this way, the desired open-end cantilever structures can be achieved. In this paper, the principles and the fabrication procedures of the proposed techniques are demonstrated and the preliminary results are discussed.  相似文献   

2.
This paper presents a method and an ultra-violet (UV) lithography system to fabricate high-aspect-ratio microstructures (HARMS) with good sidewall quality and nice dimension control to meet the requirement for industrial high throughput and high yield production of micro devices. The advantages, equipment, working principle of UV projection scanning exposure, and scanning exposure strategies are introduced first. Following the numerical simulation for the UV projection scanning exposure of thick SU-8 photoresist, experiment results are demonstrated for different exposure strategies. With Continually Changing Focus Projection Scanning (CCFPS), SU-8 microstructures with 860 μm high and 15 μm feature size are demonstrated. For microstructure with 866 μm height, 20 μm width, from the top layer to the bottom layer, the dimension can be controlled in the range of +0.7 to ?1.7 μm; also, the vertical sidewall angle can be controlled inside 90 ± 0.16°. It approves that the CCFPS exposure for HARMS can achieve much straighter and more vertical sidewall compared with UV contact print or UV projection exposure with focusing image on the resist surface or an optimized depth.  相似文献   

3.
The deep lithography of thick resist layer is the primary step of LIGA technology. UV Proximity lithography, which is used to fabricate high aspect ratio MEMS normally, is investigated in this paper. The light intensity distribution in the thick photoresist layer mainly depends on the diffraction produced by the gap between mask and wafer in proximity lithography. Fresnel diffraction model is used to simulate lithography process normally, which the thin photoresist layer is used in the lithography process. But it is not accurate in deep lithography process. A correction to the Fresnel diffraction theory is used to simulate the lithography process depending on the scalar diffraction theory of light propagation in this paper. The difference of this two models is given in this paper. The simulation results show that the corrected model can obtain more accurate simulation results than the Fresnel diffraction model. The experiment results and the theory analysis both indicate that: the structure contrast decreases with the increase of the film thickness. The smaller the structure linewidth is, the faster the structure contrast decreases. The linewidth of microstructure is not equivalent from the top to the bottom, broaden in the middle part normally. The theory simulation gives the quantitative analysis.This work was supported by of China 973 Program (No. 1999033109).  相似文献   

4.
Micro-hoodoo (inverse trapezoidal) structures fabricated by silicon etching, soft-lithography, or photolithography have attracted great interest due to their improved hydrophobicity and self-cleaning properties. We present here a simple single-step UV diffraction lithography technique for fabricating micro-hoodoo structures with or without adjustable interconnecting bridges using a negative SU-8 photoresist. The theoretical calculations and the fabrication results revealed that the sizes and sidewall profiles of the micro-hoodoo structures and interconnecting bridges could be precisely controlled by the fabrication conditions, including the pattern-to-pattern spacing, exposure dose, and gap between the mask and the SU-8 surfaces. The theoretical calculations were conducted using an integrated model based on a Fresnel diffraction model for estimating the hoodoo size and an exponent decay model for estimating the sidewall profile. The integrated model agreed well with the fabricated hoodoo sizes and sidewall profiles, and the model provided an explanation for the structural instabilities observed during formation of the interconnecting bridges between hoodoos. The interconnecting bridges made the hydrophobic hoodoo structures sticky toward water, with a water contact angle hysteresis of up to 86.6°. The directional bridge interconnections produced directionally sticky hydrophobic surfaces that successfully mimicked function in butterfly wings to enable directional water removal.  相似文献   

5.
SU-8 resist is commonly used to produce high aspect ratio microstructures in MEMS field. The resist is patterned using either ultraviolet (UV) or X-ray lithography and developed to produce structures ranging from 20 microns to 1.5 millimeters in height. Three processes are currently used to develop SU-8 resist: dip development with or without stirring and dip development with ultrasonic agitation. One difficulty associated with producing high aspect ratio microstructures lies in the development of open and closed fields evenly on the same substrate. To overcome this obstacle, we have applied megasonic agitation to the developer bath, which resulted in faster development rates, uniformed development, and the ability to produce structures with higher aspect ratios. To date, this process has been used to achieve 100:1 aspect ratio open field features and 45:1 intact cylinder arrays using a broadband optical contact aligner.The research work reported in this paper was made possible by support from National Science Foundation under grant ECS-#0104327; Louisiana Board of Regents, National Aeronautics and Space Administration, and the Louisiana Space Consortium under agreement NASA/LEQSF (2001–2005)-LaSPACE, and NASA/LaSPACE under grant NTG5–40115. The authors would also like to thank the Center for Advanced Microstructures and Devices of LSU for the use of the cleanroom facility.  相似文献   

6.
Comb-drive microactuators are widely used in MEMS devices. Most of the comb-drive microactuators reported in MEMS field are made using fabrication technology with silicon as the structural material. Recent progress in ultra violet (UV) lithography of SU-8 has made it feasible to fabricate ultra high aspect ratio microstructures with excellent sidewall quality. In this paper, a research work on fabrication and metallization of high aspect ratio SU-8 polymer comb-drive microactuators was reported. The fabrication process combined multi-step and multi-layer UV lithography of SU-8 on a silicon substrate and copper electroless plating to selectively metallize the SU-8 microstructure. The selective electroless plating was achieved by using UV modification of the SU-8 microstructures and the careful control of the exposure dosage. Preliminary experimental results have proved the feasibility of the microactuator and the fabrication technology.  相似文献   

7.
SU-8 as resist material for deep X-ray lithography   总被引:3,自引:0,他引:3  
 A new negative tone resist for deep X-ray lithography is presented. This resist is a nine parts to one mixture of the EPON SU-8 resin with 2,2-bis-(3,5-dichloro-4-hydroxyphenyl)propane (Tetrachlorobisphenol A, TCBA), the latter acting as the photoinitiator. The resist was irradiated at the synchrotron source of DCI at LURE. It was dried for 7 to 20 days beforehand over silica gel while under a light vacuum (20 mbar). Best results for a 150 μm high resist were obtained with a X-ray bottom dose of 3 kJ cm−3 and a post exposure bake at 33 °C. Differential Scanning Calorimetry measurements (DSC) determined the glass transition temperature of the resist. The glass transition for the undried, loose resist was 34.7 °C, and it was 28.7 °C when the resist was pressed on a silicon substrate. For a sample of the dried resist, the glass transition was 33.4 °C for the loose resist and 29.8 °C when it was pressed on a Silicon substrate. CD measurements were made on top surface of a set of 100 μm long columns structures, which were produced in 150 μm of this resist. These structures have a constant 100 μm pitch, and the structures themselves varied in width from 20 to 17 μm. For these structures, the CD was calculated to be 0.15 ± 0.03 μm. Received: 8 February 2000/Accepted: 3 March 2000  相似文献   

8.
This paper reports fabrication of 2-DOF vibratory gyroscope using SU-8 based UV-LIGA process. The device structure is designed to be symmetrical in order to match the resonance frequencies of drive and sense mode oscillators and also to minimize their relative temperature dependent drift. The overall arrangement is such that the two vibration modes do not affect each other and therefore, mechanical decoupling is achieved which helps in minimizing bias drift. The design is optimized to be compatible with the UV-LIGA process having 10 μm thick electroformed nickel as structural layer. Photolithography to create 11 μm thick SU-8 molds for electroforming sacrificial copper and structural nickel layer is optimized using multiple exposure technique that ensures near vertical side walls. Since the highly cross-linked SU-8 remaining after development is difficult to remove reliably from high aspect ratio structures without damage or alteration to the electroformed metals, a 2.45 GHz MW plasma etching process is developed with CF4/O2 mixes. The fabricated device is checked for off-plane misalignment between the stationary and movable comb fingers using white light interferometry and it is found to be almost negligible. Also, the prototype device is characterized for amplitude and phase spectral responses using Polytec MSA-500 Micro System Analyzer. The drive and sense mode resonance frequencies are observed at 7.3 and 7.1 kHz respectively against the mode matched designed frequency of 7.5 kHz.  相似文献   

9.
We propose a novel and simplified method to fabricate complex 3-dimensional structures in SU-8 photoresist using maskless grayscale lithography. The proposed method uses a Digital Micro-mirror Device (DMD®) to modulate the light intensity across a single SU-8 photoresist layer. Top and back-side exposure are implemented in the fabrication of original structures such as cantilevers, covered channels with embedded features and arrays of microneedles. The fabrication of similar structures in SU-8 with other techniques often requires complex physical masks or the patterning of several stacked layers. The effects of critical process parameters such as software mask design, exposure and developing conditions on the quality of 3-D structures are discussed. A number of applications using bridges, cantilevers and micromixers fabricated using this methodology are explored.  相似文献   

10.
In this paper, three-dimensional (3D) micro sloping structures were fabricated by ordinary mask pattern and diffraction phenomenon. Especially, we fabricated the structures with SU-8 negative photoresist and substrate penetration lithography. In this method, exposure is performed arranging in order of a mask, a substrate and the SU-8 resist. There is a gap that is equal to the thickness of the substrate between resist and mask. In narrow slit of mask, resist is less exposed than usual because of Fraunhofer diffraction. The amount of exposure depends on slit width so that the height of SU-8 resist can be controlled. A 173 μm height of structure was obtained in the case of 27 μm width slit and 24.2 μm height of structure was obtained in the case of 7.4 μm width slit. By using this method, high aspect ratio 3D SU-8 structures with smooth sloping were fabricated in the length of 100–300 μm and in the height of 50–200 μm with rectangular triangle mask pattern. In the same way, there is influence of Fresnel diffraction on edge of aperture so that micro taper structures were fabricated. A lot of taper structures were fabricated by the method to make the surface repellency. The contact angle was achieved more than 160° in this study.  相似文献   

11.
 Active materials such as piezoelectric ceramics and shape memory metal alloys commonly actuate active control and intelligent material systems. Commercially available piezoelectric materials exhibit small actuation stroke and shape memory metal alloys have limited bandwidth. The proposed micro-actuator array design and fabrication process increases the actuation stroke of piezoceramic material by a factor of 1.5 for a 2 × 2 array; two active material segments connected in parallel and two in series, and doubles the response time of a 1 × 4 shape memory alloy driven array; four active materials segments connected in series. A high aspect ratio fabrication method incorporating SU-8 resin and conventional lithography is the process that forms the array linkages. The SU-8 resin array structures are 300 μm tall.  相似文献   

12.
The negative photoresist SU-8 has been recognised as an unique resist, equally useful for conventional UV lithography as well as deep X-ray lithography (DXRL) applications [2, 7, 12, 17, 18]. One of the major limitations in the use of SU-8 in lithographic processes is the occurrence of internal stress [15]. The processing parameters investigated for DXRL of SU-8 included resist thickness (450–850 m), soft bake time (7–11 h), exposure dose (30–70 J/cm3), post exposure bake time (20, 40, 60 min) and development time. The effect of these parameters on stress was evaluated using wafer curvature measurements. Taguchi optimisation techniques have been used to asses the contribution of these parameters on the stress of the developed structures. This study shows that softbake time contributes the most to stress in the SU-8 film at 50%, followed by the exposure dose and post exposure bake with 30% and 15% respectively. Stress varied somewhat linearly with thickness. At higher thickness, the deposition process needs to be changed for very high aspect ratio structures. The main objective of this work has been to optimise the processing conditions of thick SU-8 films for DXRL.This paper was first presented at the High Aspect Ratio Microstructurres (HARMST) conference in Montery California, June 2003.This work was supported by the Australian Synchrotron Research Program, which is funded by the Commonwealth of Australia under the Major National Research Facilities Program. Use of the Advanced Photon Source was supported by the U.S. Department of Energy, under Contract No. W-31-109-Eng-38. Support received from CRC for microTechnology (Australia) is also gratefully acknowledged. We also thank Dr. Brett Sexton and Fiona Smith from CSIRO (Australia), Dr. Francesco DeCarlo , Dr. Chian Liu, and Judy Yaeger from APS, and Dr. Jason Hayes and Dr. Matthew Solomon from Swinburne University for useful discussions and their help during some experimental work.  相似文献   

13.
A micro corona motor was fabricated using a membraneless built-on X-ray mask. Sharp stator electrodes of this motor ionize air molecules and ionized charges transfer onto the rotor surface, resulting in rotating rotor motions by Coulomb forces. For good performance, the stators electrodes should be wide (axial) and have sharp tips. Therefore, X-ray lithography was adopted for precise, high aspect ratio characteristics. To avoid the fabrication difficulty of a membrane X-ray mask, a built-on X-ray mask (conformal mask) technique was employed with negative toned SU-8 photoresist. SU-8 features X-ray fabrication compatibility, X-ray transparency and a large range of thickness. This technique may be suitable for fast fabrication of prototypes or very tall structures, which can be largely affected by printing gaps. For the X-ray built-on mask, 20 m SU-8 was patterned and 8 m gold absorber was electroplated on top of the 300 m PMMA resist. Tests showed good quality pattern transfer from the SU-8 pattern and smooth sidewalls.The authors would like to thank the National Science Foundation, Grant DMI-0115527, Manufacturing Machines and Equipment Program, Division of Design, Manufacture, and Industrial Innovation, and Atoz CompuNet Ltd. for support for this work. We also acknowledge partial support from Center for Nano and Molecular Science and Technology and Welch foundation in The University of Texas at Austin.  相似文献   

14.
Deep X-ray lithography masks require good transparency and mechanical resistance to the intense synchrotron X-ray beam, large active areas (cm)2 and compatibility with the standard fabrication processes (optical lithography and gold electroforming). Moreover higher resolution can be achieved with low roughness flat membrane. Furthermore multiple aligned exposures require an optically transparent material. Diamond like Carbon membranes fulfill those requirements but have a prohibitive cost. Our approach consists in using an SU-8 epoxy resin layer as membrane material. In this communication the different steps of the fabrication process will be presented, as well as the results obtained using the mask for particular applications.  相似文献   

15.
This paper describes the design, microfabrication and testing of a pre-aligned array of fiber couplers using direct UV-lithography of SU-8. The fiber coupler array includes an out-of-plane refractive microlens array and two fiberport collimator arrays. With the optical axis of the pixels parallel to the substrate, each pixel of the microlens array can be pre-aligned with the corresponding pixels of the fiberport collimator array as defined by the lithography mask design. This out-of-plane polymer 3D microlens array is pre-aligned with the fiber collimator arrays with no additional adjustment and assembly required, therefore, it helps to dramatically reduce the running cost and improve the alignment quality and coupling efficiency. In addition, the experimental results for the fiber couplers are also presented and analyzed.  相似文献   

16.
Qu  Xingtian  Li  Jinlai  Yin  Zhifu 《Microsystem Technologies》2018,24(7):3141-3147
Microsystem Technologies - High aspect ratio capability leads to a successful use of SU-8 photo-resist in a diversity of micro-scale polymer devices as a construction material. However, SU-8...  相似文献   

17.
Amiri  Iraj S.  Sorger  Volker J.  Ariannejad  M. M.  Ling  Xi  Ghasemi  M.  Yupapin  P. 《Microsystem Technologies》2018,24(3):1673-1681
Microsystem Technologies - This paper reports on an approach for fabrication of micro-channels with nanometer thickness achieved by optimization of UV lithography processes. Rectangular...  相似文献   

18.
 This paper was presented at the Fourth International Workshop on High Aspect Ratio Micro-Structure Technology (HARMST) 2001 in Baden-Baden, Germany. For building high aspect ratio parts, using a combination of UV lithography and electroplating is a very promising approach. This paper describes investigations regarding the application of UV lithography and electroplating to fabricate high aspect ratio component parts of microactuators, such as coils and pole pieces. As resist materials, both novolac-based resists and photosensitive epoxy were investigated. The high aspect ratio photomasks were used as micromolds for electroplating coils and magnetic structures in micromotors. Received: 10 August 2001/Accepted: 24 September 2001  相似文献   

19.
Sieves are membranes with a regular array of uniform pores that present low flow resistance. Because of such characteristics they are promising devices for filtration, separation of particles by size and drug delivery control systems. In this paper, we propose and demonstrated the use of a soft lithography process for fabrication of biodegradable sieves in PLLA (poly-l-lactide) with pores in the scale of hundred of nanometers. The fabrication process is suitable for mass production and submicrometric pore diameters can be fabricated with homogeneity of about 15%. The PLLA self sustained sieve can be integrated to PLLA capsules, compounding a drug delivery systems or implants.  相似文献   

20.
Micro bearing systems for Micro Electromechanical Systems (MEMS) have drawn attention for several decades as critical components for micro rotating machinery. Ideally, frictionless bearings are needed, and in practice, micro gas bearings approach the ideal. Typically, bearings function as a separate component, assembled onto sliding counterparts. However, in micro scale devices, assembly procedures are known to be very tedious and time consuming. This leads to the pursuit of single material monolithic structures. Critical issues arising from these approaches include: limitation of materials, friction, and reliability, among others. In this paper, new approaches have been pursued. Micro gas bearings were fabricated as a single component through X-ray lithography. A stainless steel gauge pin, machined to ultra precision, was used as a journal shaft. Simple and very easy assembly processes using self-aligning concepts were developed as an alternative method to conventional assembly. This article presents the design, fabrication, assembly, and testing of micro gas bearings.This project was funded from National Science Foundation (DMI-0115527) and Atoz CompuNet Ltd. The authors also acknowledge partial support from Center for Nano and Molecular Science and Technology and Welch foundation in The University of Texas at Austin.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号