首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
InAs/GaSb SLs探测器台面刻蚀常用的工艺有干法刻蚀和湿法刻蚀.研究了三种等离子刻蚀气体(Cl2基, Ar基和CH4基)对超晶格的刻蚀效果,SEM结果表明,CH4基组分能够得到更加平整的表面形貌和更少的腐蚀坑;之后采用湿法腐蚀工艺,用于消除干法刻蚀带来的刻蚀损伤,分别研究了酒石酸系和磷酸系两种腐蚀溶液的去损伤效果,结果表明,磷酸系腐蚀液的去损伤效果更好,且腐蚀速率更加稳定.采用优化的台面工艺制备了InAs/GaSb SLs探测器,其I-V特性曲线表明二极管具有较低的暗电流,其77 K时动态阻抗R0A =1.98104 cm2.  相似文献   

2.
Fabrication of group-III nitride devices relies on the ability to pattern features to depths ranging from 1000 Å to >5 μm with anisotropic profiles, smooth morphologies, selective etching of one material over another and a low degree of plasma-induced damage. In this study, GaN etch rates and etch profiles are compared using reactive ion etch (RIE), reactive ion beam etching (RIBE), electron cyclotron resonance (ECR) and inductively coupled plasma (ICP) etch systems. RIE yielded the slowest etch rates and sloped etch profiles despite dc-biases >−900 V. ECR and ICP etching yielded the highest rates with anisotropic profiles due to their high plasma flux and the ability to control ion energies independently of plasma density. RIBE etch results also showed anisotropic profiles but with slower etch rates than either ECR or ICP possibly due to lower ion flux. InN and AlN etch characteristics are also compared using ICP and RIBE.  相似文献   

3.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

4.
A key technology required for fabricating single and multi-band mesa photodiodes with pixel sizes less than or equal to 25 μm is the development of an anisotropic etch process for HgCdTe. The primary approach investigated for this purpose has been electron cyclotron resonance (ECR) dry etching. This paper reviews an experiment used to optimize the ECR etch process at Lockheed Martin IR Imaging Systems, Inc. and then the use of the process to produce state-of-the-art LW photodiodes. In this work, a Ar:H plasma was used in a Plasma Therm series 700 ECR plasma etcher. Reactor variables were optimized by a designed experiment against the following response parameters: anisotropy, etch uniformity and “damage,” as measured by the photodiode zero bias and reverses bias impedance characteristics. The critical process variables of Ar:H gas pressure, lower magnet current, and electrode height were all optimized. The optimized process parameters were then utilized to fabricate arrays with 80K cut-off wavelengths in excess of 11 μm, R0As of 29 Ω-cm2, Rd20mV/Rd0mV>13 and quantum efficiency>71%.  相似文献   

5.
In this paper, we present an alternative technique to the well-known electron beam lithography in order to realize nanoholes in the silicon substrates for biological applications. The used technique is soft UV nanoimprint lithography (UV-NIL). We optimized the fabrication of silicon based supports obtained by soft UV-NIL and reactive ion etching to carry out very large arrays of nanoholes. The resolution limits are investigated when using poly(dimethylsiloxane) as flexible mold material. RIE conditions are initiated to limit the lateral mask resist etch.  相似文献   

6.
A mechanistic framework is presented for impact assisted etch reactions. The consecutive reaction steps are assumed to be activated thermally and in parallel mechanically by fast particle impacts. The model explains the complicated temperature dependencies observed in dry etching and beam assisted etching, and it correlates the side wall profiles to the rate determining steps in the etch mechanisms. The framework is used to describe Reactive Ion Beam Etching (RIBE) experiments on InP with Ar+ ions and chlorine, in comparison with our recent Reactive Ion Etching (RIE) experiments of magnetic alloys in HCl plasmas. The framework is also applicable to other non-thermally activated etch reactions, as encountered in tribo-chemical etching and laser chemical etching.  相似文献   

7.
通过对PECVD SiC进行不同条件下的反应离子刻蚀(RIE)和电感耦合反应离子刻蚀(ICP)实验研究,提出了使用SF6和He的混合气体进行RIE刻蚀,并讨论了功率和压强分别对刻蚀速率的影响. 进一步研究了SiC中H含量对于RIE刻蚀速率的影响,同时验证ICP刻蚀过程中负载效应的存在.  相似文献   

8.
A rapid assessment of bulk silicon quality after removal of the silicon-on-insulator (SOI) and buried oxide layer using both plasma and wet etch is compared with standard p-epi silicon by comparing the performance of avalanche photodiodes (APD) operated in Geiger-mode. Plasma etching of the buried oxide shows lower dark counts than wet etched or standard p-epi substrates.  相似文献   

9.
This study characterizes an oxide etching process in a magnetically enhanced reactive ion etching (MERIE) reactor with a CHF3/CF4 gas chemistry. We use a statistical 24‐1 experimental design plus one center point to characterize the relationships between the process factors and etch responses. The factors that we varied in the design include RF power, pressure, and gas composition, and the modeled etch responses were the etch rate, etch selectivity to TiN, and uniformity. The developed models produced 3D response plots. Etching of SiO2 mainly depends on F density and ion bombardment. SiO2 etch selectivity to TiN sensitively depends on the F density in the plasma and the effects of ion bombardment. The process conditions for a high etch selectivity are a 0.3 to 0.5 CF4 flow ratio and a –600 V to –650 V DC bias voltage according to the process pressure in our experiment. Etching uniformity was improved with an increase in the CF4 flow ratio in the gas mixture, an increase in the source power, and a higher pressure. Our characterization of via etching in a CHF3/CF4 MERIE using neural networks was successful, economical, and effective. The results provide highly valuable information about etching mechanisms and optimum etching conditions.  相似文献   

10.
The implementation of high‐resolution polymer templates fabricated by capillary force lithography (CFL) is explored both in nanoimprint lithography (NIL) and in the wet‐etching of metals. Several different thermoplastic and UV‐curable polymers and types of substrates are incorporated into the general CFL procedure to meet the diverging requirements of these two applications. The mechanical stability of UV‐curable templates for imprinting in polymers, as examined by atomic force microscopy (AFM), and their anti‐adhesive properties are excellent for application in NIL. The conditions for curing the UV‐curable polymer are optimized in order to obtain high‐stability polymer templates. Gold patterns on silicon with a lateral resolution of 150 nm are fabricated by subsequent lift‐off in acetone. Similar patterns with a lateral resolution of 100 nm are fabricated using templates of thermoplastic polymers on gold layers on silicon as an etch mask. The transfer of stamp residues during CFL with these polymer templates is proven by X‐ray photoelectron spectroscopy (XPS) and AFM friction analysis. For poly(methylmethacrylate) (PMMA), the presence of large amounts of silicon‐containing residues is found to compromise the processability of the resulting template in subsequent O2 reactive‐ion etching (RIE) treatment. The extent of silicon contamination is up to six times less for polystyrene (PS). At this level, the etch performance of the PS etch mask is not affected, as was the case for PMMA. Accurate downscaling of the lateral dimensions of the resulting metal patterns by several factors with respect to the dimensions of the PS etch mask is achieved by over‐etching of the gold. Overall, the results in this paper demonstrate the potential of CFL templates as tools for high‐resolution soft lithography.  相似文献   

11.
A simple protocol for the fabrication of three‐dimensional (3D) photonic crystals in silicon is presented. Surface structuring by nanosphere lithography is merged with a novel silicon etching method to fabricate ordered 3D architectures. The SPRIE method, sequential passivation reactive ion etching, is a one‐step processing protocol relying on sequential passivation and reactive ion etching reactions using C4F8 and SF6 plasma chemistries. The diffusion of fresh reactants and etch product species inside the etched channels is found to play an important role affecting the structural uniformity of the designed structures and the etch rate drift is corrected by adjusting the reaction times. High quality photonic crystals are thus obtained by adding the third dimension to the two‐dimensional (2D) colloidal crystal assemblies through SPRIE. Careful adjustments of both mask design and lateral etch extent balance allow the implementation of even more complex functionalities including photonic crystal slabs and precise defect engineering. 3D photonic crystal lattices exhibiting optical stop‐bands in the infrared spectral region are demonstrated, proving the potential of SPRIE for fast, simple, and large‐scale fabrication of photonic structures.  相似文献   

12.
Reactive ion etching (RIE) was performed on gallium nitride (GaN) films grown by electron cyclotron resonance (ECR) plasma assisted molecular beam epitaxy (MBE). Etching was carried out using trifluoromethane (CHF3) and chloropentafluoroethane (C2ClF5) plasmas with Ar gas. A conventional rf plasma discharge RIE system without ECR or Ar ion gun was used. The effects of chamber pressure, plasma power, and gas flow rate on the etch rates were investigated. The etch rate increased linearly with the ratio of plasma power to chamber pressure. The etching rate varied between 60 and 500Å/min, with plasma power of 100 to 500W, chamber pressure of 60 to 300 mTorr, and gas flow rate of 20 to 50 seem. Single crystalline GaN films on sapphire showed a slightly lower etch rate than domain-structured GaN films on GaAs. The surface morphology quality after etching was examined by atomic force microscopy and scanning electron microscopy.  相似文献   

13.
纳米压印技术是近年来国际新兴的纳米光刻技术,具有高分辨率、高效率和低成本等优点。本文结合电子束光刻技术和干法刻蚀技术开发了简洁的纳米压印SiNx光栅模板制造工艺。为提高工艺效率,引进高灵敏度的化学放大胶NEB-22胶(负性胶)作为电子光刻胶,用电子束光刻技术在NEB-22上刻出光栅图形,再利用其作为掩膜,经反应离子刻蚀后,将光栅图形转移到氮化硅上,得到所需模板。文中详细研究了NEB-22胶的电子束光刻特性及其干法刻蚀特性,指出了它作为电子束光刻胶的优点及它相对于铬掩膜而言作为干法刻蚀掩膜的不足。  相似文献   

14.
We developed a simplified nanofabrication process for imprint templates by fast speed electron beam lithography (EBL) and a dry etch technique on a SiNx substrate, intended for large area manufacturing. To this end,the highly sensitive chemically amplified resist (CAR), NEB-22, with negative tone was used. The EBL process first defines the template pattern in NEB-22, which is then directly used as an etching mask in the subsequent reactive ion etching (RIE) on the SiNx to form the desired templates. The properties of both e-beam lithography and dry etch of NEB-22 were carefully studied, indicating significant advantages of this process with some drawbacks compared to when Cr was used as an etching mask. Nevertheless, our results open up a good opportunity to fabricate high resolution imprint templates with the prospect of wafer scale manufacturing.  相似文献   

15.
利用聚集离子束(F IB)对小线度下(≤3μm)的溅射刻蚀与增强刻蚀的性能进行了实验和分析。通过对硅和铝的刻蚀实验,研究在溅射刻蚀与增强刻蚀方法下刻蚀速率、蚀坑形貌与离子束流大小的关系。实验发现,铝和硅的刻蚀速率与刻蚀束流近似成线性关系;束流增大到一定程度后由于束斑变大及瞬时重淀积的作用,刻蚀速率曲线偏离线性。使用卤化物气体的增强刻蚀,硅和铝的刻蚀速率得到不同程度地提高。根据蚀坑形貌与束流大小的关系分析,发现瞬时重淀积是影响小线度刻蚀质量的主要因素。增强刻蚀大大减小了蚀坑的坑璧倾角,而坑底倾斜问题需综合考虑。  相似文献   

16.
One of the major GaN processing challenges is useful pattern transfer. Serious photoresist mask erosion and hardening are often observed in reactive ion etching of GaN. Fine pattern transfer to GaN films using photoresist masks and complete removal of remaining photoresist after etching are very difficult. By replacing the etch mask from conventional photoresist to a sputtered iron nitride (Fe-8% N) film, which is easily patterned by wet chemical etching and is very resistive to Cl based plasmas, GaN films can be finely patterned with vertical etched sidewalls. Successful pattern transfer is realized by reactive ion etching using Cl (H) containing plasmas. CHF3/Ar, C2ClF5/Ar, C2ClF5/Ar/O2, SiCl4, and CHCl3 plasmas were used to etch GaN. The GaN etch rate is dependent on the crystalline quality of GaN. Higher crystalline quality GaN films exhibit slower etch rates than GaN films with higher dislocation and stacking fault density.  相似文献   

17.
High throughput, high quality dry etching of copper/barrier film stacks   总被引:1,自引:0,他引:1  
Dry etching of copper interconnect lines in a chlorine-based plasma has been investigated. Copper dry etching was carried out in a modified diode-type reactive ion etch (RIE) system and in an inductively coupled plasma (ICP) etch system. The ICP system offers a significant increase in copper etch rate compared with the low-efficiency RIE system while maintaining excellent pattern transfer accuracy. A number of fundamental issues in high quality and high throughput copper dry etching will be discussed. Electrical characterization of patterned copper lines with line width as small as 0.25 μm indicates low electrical resistivity and good electromigration performance.  相似文献   

18.
A method of reactive etching is proposed in which focused 100-eV O+ ion beams are used for making organic-resist masks 12–24 nm thick with nanometer-sized apertures. Focused-ion-beam and ion-projection-lithography systems with an electrostatic immersion decelerating objective are considered. They are shown to provide an ultimate lateral resolution of ~14 or ~21 nm, respectively. Attainable etch rate is estimated. The potential usefulness of resist masks thus obtained is discussed in the context of quantum-computing devices containing quantum dots, quantum wires, and nanoscale conducting tracks.  相似文献   

19.
High density plasma etching of zinc selenide using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry, using through-the-platen sampling, is used to identify and monitor etch products evolving from the surface during etching. The identifiable primary etch products are Zn, Se, ZnH2, SeH2, Zn(CH3)2, and Se(CH3)2. Their concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy, and substrate temperature are varied. General insights about the surface chemistry mechanisms of the etch process are given from these observations. Regions of process parameter space best suited for moderate rate, anisotropic, and low damage etching of ZnSe are proposed. Code 6752 Code 6174  相似文献   

20.
Damage-free, dry-etched 0.25-μm T-shape gate pseudomorphic InGaAs channel HEMTs have been demonstrated. A Freon-12-based discharge was used in either electron cyclotron resonance (ECR) or reactive ion etching (RIE) systems to perform the gate recess process. Etching selectivity of more than 200 was obtained between the GaAs cap layer and the underlying AlGaAs donor layer. Self-bias voltages of -30 to -50 V were used in the etching process to minimize the damage. Pre- and post-etch clean steps were utilized to achieve uniform etch and removal of any dry-etch-related residues. Schottky diodes fabricated on n-GaAs subjected to either dry or wet etching showed no differences of barrier height, zero-bias depletion depth, and ideality factor. By using the dry etch for gate recess, very tight threshold voltage uniformity was obtained. The devices showed I-V characteristics comparable to that of devices fabricated with a wet chemical process  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号