首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Electrical and reliability properties of ultrathin La2O 3 gate dielectric have been investigated. The measured capacitance of 33 Å La2O3 gate dielectric is 7.2 μF/cm2 that gives an effective K value of 27 and an equivalent oxide thickness of 4.8 Å. Good dielectric integrity is evidenced from the low leakage current density of 0.06 A/cm2 at -1 V, high effective breakdown field of 13.5 MV/cm, low interface-trap density of 3×1010 eV-1/cm2, and excellent reliability with more than 10 years lifetime even at 2 V bias. In addition to high K, these dielectric properties are very close to conventional thermal SiO2   相似文献   

2.
Excellent long term reliability InGaP/GaAs heterojunction bipolar transistors (HBT) grown by metalorganic chemical vapor deposition (MOCVD) are demonstrated. There were no device failures (T=10000 h) in a sample lot of ten devices (L=6.4 μm ×20 μm) under moderate current densities and high-temperature testing (Jc=25 kA/cm 2, Vce=2.0 V, Junction Temp =264°C). The dc current gain for large area devices (L=75 μm ×75 μm) at 1 kA/cm2 at a base sheet resistance of 240 ohms/sq (4×10 19 cm-3@700 Å) was over 100. The dc current gain before reliability testing (L=6.4 μm ×10 μm) at 0.8 kA/cm2 was 62. The dc current gain (0.8 kA/cm2) decreased to 57 after 10000 h of reliability testing. The devices showed an fT=61 GHz and fmax=103 GHz. The reliability results are the highest ever achieved for InGaP/GaAs HBT and these results indicate the great potential of InGaP/GaAs HBT for numerous low- and high-frequency microwave circuit applications. The reliability improvements are probably due to the initial low base current at low current densities which result from the low surface recombination of InGaP and the high valence band discontinuity between InGaP and GaAs  相似文献   

3.
Steady-state and transient forward current-voltage I-V characteristics have been measured in 5.5 kV p+-n-n+ 4H-SiC rectifier diodes up to a current density j≈5.5×10 4 A/cm2. The steady-state data are compared with calculations in the framework of a model, in which the emitter injection coefficient decreases with increasing current density. To compare correctly the experimental and theoretical results, the lifetime of minority carriers for high injection level, τph, has been estimated from transient characteristics. At low injection level, the hole diffusion length Lpl has been measured by photoresponse technique. For a low-doped n-base, the hole diffusion lengths are Lpl≈2 μm and Lph≈6-10 μm at low and high injection levels respectively. Hole lifetimes for low and high injection levels are τpl≈15 ns and τph≈140-400 ns. The calculated and experimental results agree well within the wide range of current densities 10 A/cm 23 A/cm2. At j>5 kA/cm2, the experimental values of residual voltage drop V is lower than the calculated ones. In the range of current densities 5×103 A/cm24 A/cm2, the minimal value of differential resistance Rd =dV/dj is 1.5×10-4 Ω cm2. At j>25 kA/cm2, Rd increases with increasing current density manifesting the contribution of other nonlinear mechanisms to the formation steady-state current-voltage characteristic. The possible role of Auger recombination is also discussed  相似文献   

4.
The microwave and power performance of fabricated InP-based single and double heterojunction bipolar transistors (HBTs) is presented. The single heterojunction bipolar transistors (SHBTs), which had a 5000 Å InGaAs collector, had BVCEO of 7.2 V and JCmax of 2×105 A/cm2. The resulting HBTs with 2×10 μm2 emitters produced up to 1.1 mW/μm2 at 8 GHz with efficiencies over 30%. Double heterojunction bipolar transistors (DHBTs) with a 3000-Å InP collector had a BVCEO of 9 V and Jc max of 1.1×105 A/cm2, resulting in power densities up to 1.9 mW/μm2 at 8 GHz and a peak efficiency of 46%. Similar DHBTs with a 6000 Å InP collector had a higher BVCEO of 18 V, but the J c max decreased to 0.4×105 A/cm2 due to current blocking at the base-collector junction. Although the 6000 Å InP collector provided higher fmax and gain than the 3000 Å collector, the lower Jc max reduced its maximum power density below that of the SHBT wafer. The impact on power performance of various device characteristics, such as knee voltage, breakdown voltage, and maximum current density, are analyzed and discussed  相似文献   

5.
The spectroscopic properties of Ho3+ laser channels in KGd(WO4)2 crystals have been investigated using optical absorption, photoluminescence, and lifetime measurements. The radiative lifetimes of Ho3+ have been calculated through a Judd-Ofelt (JO) formalism using 300-K optical absorption results. The JO parameters obtained were Ω2=15.35×10-20 cm2, Ω 4=3.79×10-20 cm2, Ω6 =1.69×10-20 cm2. The 7-300-K lifetimes obtained in diluted (8·1018 cm-3) KGW:0.1% Ho samples are: τ(5F3)≈0.9 μs, τ( 5S2)=19-3.6 μs, and τ(5F5 )≈1.1 μs. For Ho concentrations below 1.5×1020 cm-3, multiphonon emission is the main source of non radiative losses, and the temperature independent multiphonon probability in KGW is found to follow the energy gap law τph -1(0)=βexp(-αΔE), where β=1.4×10-7 s-1, and α=1.4×103 cm. Above this holmium concentration, energy transfer between Ho impurities also contributes to the losses. The spectral distributions of the Ho3+ emission cross section σEM for several laser channels are calculated in σ- and π-polarized configurations. The peak a σEM values achieved for transitions to the 5I8 level are ≈2×10-20 cm2 in the σ-polarized configuration, and three main lasing peaks at 2.02, 2.05, and 2.07 μm are envisaged inside the 5I75I8 channel  相似文献   

6.
Polycrystalline silicon thin film transistors have been fabricated at reduced gate oxidation thermal budgets by utilizing NF3-enhanced dry oxidation. Good performance TFTs with effective electron mobility values as high as 38 cm2/V.sec, threshold voltage values near zero, ON/OFF current ratios of up to 5×107 and subthreshold slopes of 0.3 V/dec have been fabricated at an oxidation temperature of 800°C. Stable devices at an electrical stressing field of 3 MV/cm were demonstrated. Thermal gate oxide TFTs have also been fabricated at a maximum temperature of 650°C. The effect of hydrogen plasma passivation was found to depend on process conditions and was correlated with the amount of fluorine in the area near the Si-SiO2 interface. Passivation at low power was always beneficial. Passivation at high power was highly beneficial for a limited amount of interfacial fluorine, but less beneficial or even detrimental when a large fluorine amount in the near interface area was present  相似文献   

7.
We describe the deposition of amorphous Zr-Sn-Ti-O (aZTT) dielectric thin films using conventional on-axis reactive sputtering. Thin films of composition Zr0.2Sn0.2Ti0.6 O2 have excellent dielectric properties: 40-50-nm thick films with a dielectric constant of 50-70 were obtained, depending on the processing conditions, yielding a specific capacitance of 9-17 fF/μm2. Breakdown fields were measured to be 3-5 MV/cm, yielding a figure of merit εε0Ebr=15-30 μC/cm2, up to eightfold higher than conventional deposited SiO2. Leakage currents, measured at 1.0 MV/cm, were in the range 10-9-10-7 A/cm2. This material appears well-suited for use in Si-IC device technology, for example as storage capacitors in DRAM  相似文献   

8.
The authors have fabricated a new low temperature polycrystalline silicon (poly-Si) thin film transistor (TFT) with silicon nitride (SiN x) ion-stopper and laser annealed poly-Si. The fabricated poly-Si TFT using SiNx as the ion-stopper as well as the gate insulator exhibited a field effect mobility of 110 cm2/Vs, subthreshold voltage of 5.5 V, subthreshold slope of 0.48 V/dec., and on/off current ratio of ~106. Low off-state leakage current of 2.4×10-2 A/μm at the drain voltage of 5 V and the gate voltage of -5 V was achieved  相似文献   

9.
Key technologies for fabricating polycrystalline silicon thin film transistors (poly-Si TFTs) at a low temperature are discussed. Hydrogenated amorphous silicon films were crystallized by irradiation of a 30 ns-pulsed XeCl excimer laser. Crystalline grains were smaller than 100 nm. The density of localized trap states in poly-Si films was reduced to 4×1016 cm-3 by plasma hydrogenation only for 30 seconds. Remote plasma chemical vapor deposition (CVD) using mesh electrodes realized a good interface of SiO 2/Si with the interface trap density of 2.0×1010 cm-2 eV-1 at 270°C. Poly-Si TFTs were fabricated at 270°C using laser crystallization, plasma hydrogenation and remote plasma CVD. The carrier mobility was 640 cm2/Vs for n-channel TFTs and 400 cm2/Vs for p-channel TFTs. The threshold voltage was 0.8 V for n-channel TFTs and -1.5 V for p-channel TFTs. The leakage current of n-channel poly-Si TFTs was reduced from 2×10-10 A/μm to 3×10-13 A/μm at the gate voltage of -5 V using an offset gate electrode with an offset length of 1 μm  相似文献   

10.
We have used a simple process to fabricate Si0.3Ge0.7/Si p-MOSFETs. The Si0.3Ge 0.7 is formed using deposited Ge followed by 950°C rapid thermal annealing and solid phase epitaxy that is process compatible with existing VLSI. A hole mobility of 250 cm2/Vs is obtained from the Si0.3Ge0.7 p-MOSFET that is ~two times higher than Si control devices and results in a consequent substantially higher current drive. The 228 Å Si0.3Ge0.7 thermal oxide grown at 1000°C has a high breakdown field of 15 MV/cm, low interface trap density (Dit) of 1.5×1011 eV-1 cm-2, and low oxide charge of 7.2×1010 cm-2. The source-drain junction leakage after implantation and 950°C RTA is also comparable with the Si counterpart  相似文献   

11.
An 1800 V triple implanted vertical 6H-SiC MOSFET   总被引:2,自引:0,他引:2  
6H silicon carbide vertical power MOSFETs with a blocking voltage of 1800 V have been fabricated. Applying a novel processing scheme, n + source regions, p-base regions and p-wells have been fabricated by three different ion implantation steps. Our SiC triple ion implanted MOSFETs have a lateral channel and a planar polysilicon gate electrode. The 1800 V blocking voltage of the devices is due to the avalanche breakdown of the reverse diode. The reverse current density is well below 200 μA/cm2 for drain source voltages up to 90% of the breakdown voltage. The MOSFETs are normally off showing a threshold voltage of 2.7 V. The active area of 0.48 mm2 delivers a forward drain current of 0.3 A at YGS=10 V and V DS=8 V. The specific on resistance was determined to 82 mΩdcm2 at 50 mV drain source voltage and at VGS =10 V which corresponds to an uppermost acceptable oxide field strength of about 2.7 MV/cm. This specific on resistance is an order of magnitude lower than silicon DMOSFET's of the same blocking capability could offer  相似文献   

12.
Yip  L.S. Shih  I. 《Electronics letters》1988,24(20):1287-1289
Films of yttrium oxide (Y2O3) were deposited on Si substrates from a Y2O3 target by RF magnetron sputtering. MIS capacitors in the form of Al and Y2O3 (400 Å)-Si were then fabricated. The leakage current density was about 10-6 A/cm2 at 1.3×106 V/cm, and the breakdown field of the films was about 2.75×106 V/cm. The dielectric constant of the sputtered Y2O3 was found to be about 12-12.7  相似文献   

13.
Shubnikov-de Haas (SdH) oscillation and Hall measurement results were compared with HEMT DC and RF characteristics for two different MOCVD grown AlGaN-GaN HEMT structures on semiinsulating 4H-SiC substrates. A HEMT with a 40-nm, highly doped AlGaN cap layer exhibited an electron mobility of 1500 cm2/V/s and a sheet concentration of 9×1012 cm at 300 K (7900 cm2/V/s and 8×1012 cm-2 at 80 K), but showed a high threshold voltage and high DC output conductance. A 27-nm AlGaN cap with a thinner, lightly doped donor layer yielded similar Hall values, but lower threshold voltage and output conductance and demonstrated a high CW power density of 6.9 W/mm at 10 GHz. The 2DEG of this improved structure had a sheet concentration of nSdH=7.8×1012 cm-2 and a high quantum scattering lifetime of τq=1.5×10-13 s at 4.2 K compared to nSdH=8.24×1012 cm-2 and τq=1.72×10-13 s for the thick AlGaN cap layer structure, Despite the excellent characteristics of the films, the SdH oscillations still indicate a slight parallel conduction and a weak localization of electrons. These results indicate that good channel quality and high sheet carrier density are not the only HEMT attributes required for good transistor performance  相似文献   

14.
Low leakage current density (as low as 10-8 A/cm2 at an applied voltage of 5 V) and high breakdown electrical field (larger than 4.5 MV/cm) of the liquid phase chemical-enhanced oxidized GaAs insulating layer enable application to the GaAs MOSFET. The oxide layer is found to be a composite of Ga2O3, As, and As2O3. The n-channel depletion mode GaAs MOSFET's are demonstrated and the I-V curves with complete pinch-off and saturation characteristics can be seen. A transconductance larger than 30 mS/mm can be achieved which is even better than that of MESFET's fabricated on the same wafer structure  相似文献   

15.
6H-SiC diodes fabricated using high-temperature nitrogen implantation up to 1000°C are reported. Diodes were formed by RIE etching a 0.8-μm-deep mesa across the N+/P junction using NF3/O2 with an aluminum transfer mask. The junction was passivated with a deposited SiO2 layer 0.6 μm thick. Contacts were made to N+ and P regions with thin nickel and aluminum layers, respectively, followed by a short anneal between 900 and 1000°C. These diodes have reverse-bias leakage at 25°C as low as 5×10-11 A/cm2 at 10 V  相似文献   

16.
Silicon Carbide (4H-SiC), power UMOSFETs were fabricated and characterized from room temperature to 200°C. The devices had a 12-μm thick lightly doped n-type drift layer, and a nominal channel length of 4 μm. When tested under FluorinertTM at room temperature, blocking voltages ranged from 1.0 kV to 1.2 kV. Effective channel mobility ranged from 1.5 cm2/V.s at room temperature with a gate bias of 32 V (3.5 MV/cm) up to 7 cm2/V.s at 100°C with an applied gate bias of 26 V (2.9 MV/cm). Specific on-resistance (Ron,sp) was calculated to be as low as 74 mΩ.cm2 at 100°C under the same gate bias  相似文献   

17.
High-performance inversion-type enhancement- mode (E-mode) n-channel In0.65Ga0.35As MOSFETs with atomic-layer-deposited Al2O3 as gate dielectric are demonstrated. A 0.4-mum gate-length MOSFET with an Al2O3 gate oxide thickness of 10 nm shows a gate leakage current that is less than 5 times 10-6 A/cm2 at 4.0-V gate bias, a threshold voltage of 0.4 V, a maximum drain current of 1.05 A/mm, and a transconductance of 350 mS/mm at drain voltage of 2.0 V. The maximum drain current and transconductance scale linearly from 40 mum to 0.7 mum. The peak effective mobility is ~1550 cm2/V ldr s at 0.3 MV/cm and decreases to ~650 cm2/V ldr s at 0.9 MV/cm. The obtained maximum drain current and transconductance are all record-high values in 40 years of E-mode III-V MOSFET research.  相似文献   

18.
叶伟  崔立堃  常红梅 《电子学报》2019,47(6):1344-1351
具有高介电常数的栅绝缘层材料存在某种极化及耦合作用,使得ZnO-TFTs具有高的界面费米能级钉扎效应、大的电容耦合效应和低的载流子迁移率.为了解决这些问题,本文提出了一种使用SiO2修饰的Bi1.5Zn1.0Nb1.5O7作为栅绝缘层的ZnO-TFTs结构,分析了SiO2修饰对栅绝缘层和ZnO-TFTs性能的影响.结果表明,使用SiO2修饰后,栅绝缘层和ZnO-TFTs的性能得到显著提高,使得ZnO-TFTs在下一代显示领域中具有非常广泛的应用前景.栅绝缘层的漏电流密度从4.5×10-5A/cm2降低到7.7×10-7A/cm2,粗糙度从4.52nm降低到3.74nm,ZnO-TFTs的亚阈值摆幅从10V/dec降低到2.81V/dec,界面态密度从8×1013cm-2降低到9×1012cm-2,迁移率从0.001cm2/(V·s)升高到0.159cm2/(V·s).  相似文献   

19.
In-situ boron-doped polysilicon has been used to form the emitter in p-n-p transistors. Various polysilicon deposition conditions, interface preparation treatments prior to deposition, and post-deposition anneals were investigated. Unannealed devices lacking a deliberately grown interfacial oxide gave effective emitter Gummel numbers GE of 7-9×10-12s cm-4 combined with emitter resistances RE of approximately 8 μΩcm2. Introduction of a chemically grown interfacial oxide increased GE to 2×10 14s cm-4, but also raised RE by a factor of three. Annealing at 900°C following polysilicon deposition raised GE values for transistors lacking deliberate interfacial oxide to approximately 6×1013s cm-4, but had little effect of GE for devices with interfacial oxide. Both types of annealed devices gave RE values in the range 1-2 μΩcm2  相似文献   

20.
The fabrication of planar optical waveguides in LiB3O 5 is discussed. Using 2-MeV 4He+ implantation with a dose of 1.5×1016 ions/cm2 at 300 K, the refractive indexes of a 0.2-μm-thick layer 5.1 μm below the crystal surface are reduced to form optical barrier guides. For this ion dose the maximum change from the bulk values of refractive index at a wavelength of 0.488 μm are 1.5%, 5.25%, and 4% for nx, ny, and nz, respectively. The refractive indexes of the guiding region change by less than 0.02% from the bulk values. The dose dependence of the optical barrier height has been measured. A threshold ion dose of about 0.75×1016 ions/cm2 is required to form a refractive index barrier and ion doses higher than about 2.5×1016 ions/cm2. saturate the refractive index decrease. Waveguide propagation losses for annealed single energy implants of dose 1.5×1016 ions/cm2 are dominated by tunneling and are estimated to be ~8.9 dB/cm for the z-cut waveguides used. Multiple energy implants broaden the optical barrier, and losses of <4 dB/cm have been observed  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号