首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Ultraviolet nanoimprint lithography (UV-NIL) is a promising technology for the fabrication of sub-10-nm features. Research has focused on employing a large-area stamp to improve UV-NIL throughput, but a large-area stamp makes it difficult to obtain an acceptable uniform residual layer thickness and/or avoid defects such as air entrapment. This paper presents the development of a single-step UV-NIL tool in which a 4-in. Pyrex stamp is first used to imprint coated resin against a 4-in. Si wafer in a low vacuum environment. Pressurized N2 is subsequently applied to the wafer bottom to improve the quality of imprint results. This UV-NIL tool was used to successfully imprint a 4-in. stamp with recessed patterns engraved over the entire stamp areas onto a 4-in. Si wafer.  相似文献   

2.
Ultraviolet-nanoimprint lithography (UV-NIL) is a promising cost-effective method for defining nanoscale structures at room temperature and low pressure. To apply a large-area stamp to a high throughput step-and-repeat process at atmospheric conditions, we proposed a new UV-NIL process that uses an elementwise patterned stamp (EPS), which consists of elements separated by channels, and additive gas pressurization. The proposed UV-NIL process required just four imprints to press an 8-in. wafer. EPS features measuring 50-80 nm were successfully transferred onto the wafers. The experiments demonstrated that a 5 × 5-in.2 EPS could be used with a step-and-repeat UV-NIL process to imprint 8-in. wafers under atmospheric conditions.  相似文献   

3.
The mask fabrication is a critical issue for the development of nanoimprint lithography (NIL) as an effective low-cost mass production technique. In this paper, we present results on the synthesis and the use of low-viscosity hybrid organic/inorganic resists based on polyhedral silsesquioxane cages functionalized with photo-polymerizable aliphatic epoxy groups. These materials are very attractive because they present a high thermal and mechanical resistance (as well as a reduced dielectric constant) and they can be processed by ultraviolet (UV)-assisted NIL. For these reasons, they are good candidates for the fabrication of 2nd generation stamps for both thermal and UV-NIL.  相似文献   

4.
Thanks to their low surface energy, fluorinated anti-sticking layers are widely used in UV nanoimprint lithography (UV-NIL) to treat the mold and facilitate its separation from the imprinted resist. However, it has been reported that release properties of the stamp deteriorate with repeated imprint operations. In this paper, X-ray photoelectron spectroscopy is used to study the mechanism of the fluorinated treatment degradation. A specific experimental protocol is used in order to avoid further degradation under X-ray exposure. It has been observed that a large amount of fluorinated molecules are removed in the first imprint steps and deposited on the surface of the imprinted resist. After this first stage, we observed that fluorinated molecules are progressively degraded along their chain during the NIL process.  相似文献   

5.
In this article we examine the use of two-level hybrid-material stamps and nanoimprint lithography (NIL) of poly(dimethylsiloxane) (PDMS) on glass substrates. A silicon/SU8 stamp manufacturing process has been developed, in order to combine nanometer and micrometer structures, thus avoiding complex deep etching processes. The stamp has been test printed in polymethyl methacrylate (PMMA) to demonstrate functionality. We describe polymer flow problems for imprinting large structures and identify optimized parameters, in accordance with previously published findings. The use of PDMS as imprint polymer was examined. Imprinting works well, however, large recovery after separation shrinks the micrometer channels substantially and renders the nanochannels useless. Glass substrates in combination with silicon stamps were used, evaluated and showed to work well at low temperature.  相似文献   

6.
As a potential candidate for the next generation of nanolithography, nanoimprint lithography (NIL) has drawn ever-increasing worldwide attention. It involves physical contact to overcome the optical limits occurring in sub-100 nm photolithography. Affordable tool cost is one of major attractive points of NIL. This work proposes the idea of incorporating carbon nanotubes (CNTs) in the resin used for ultraviolet nanoimprinting (UV-NIL). CNTs can make the resin electrically conductive when mixed with it. Patterns imprinted in the CNT-mixed resist can then be used to replace conductive metal structures directly. This enhances the productivity of basic UV-NIL where the imprinted patterns are used as sacrificial etch masks. In this work, several types of CNTs were purified chemically and dispersed before being mixed with UV-NIL resin using ultrasonic vibration. On drops of CNT-mixed resin, soft UV-NIL was performed using a polydimethylsiloxane (PDMS) stamp with a minimum feature size in the range of 200 nm. Even with increased resin viscosity due to the addition of CNTs, UV imprinting down to 200 nm was successfully done with moderate pattern fidelity. The loading rate of nanotubes should be minimized to prevent the increased viscosity from degrading the pattern transfer resolution. The electrical conductivity of CNT-mixed resist increases with the loading of CNTs. Therefore, the trade-off between the electrical properties and pattern transfer resolution needs to be optimized carefully.  相似文献   

7.
The reliability of imprint patterns molded by stamps for industrial application of nanoimprint lithography (NIL) is an important issue. Usually, defects can be produced by incomplete filling of negative patterns and the shrinkage phenomenon of polymers in conventional NIL. In this paper, the patterns that undergo a varied temperature or varied pressure period during the thermal NIL process have been investigated, with the goal of resolving the shrinkage and defective filling problems of polymers. This paper also studies the effects on the formation of polymer patterns in several profiles of imprint processes. Consequently, it is observed that more precise patterns are formed by varied temperature (VT-NIL) and varied pressure (VP-NIL). The NIL (VT-NIL and VP-NIL) process has a free space compensation effect on the polymers in stamp cavities. From the results of the experiments, the polymer's filling capability can be improved. VT-NIL is merged with the VP-NIL, resulting in a better filling property. The patterns that have been imprinted in merged NIL are compared with the results of conventional NIL. This study achieves improvement in the reliability of the results of thermal NIL  相似文献   

8.
The use of working stamps for nanoimprint lithography is highly interesting for a number of reasons like an increased lifetime and often a better manufacturability of the master stamp. We present results on the use of Ormostamp as a material for working stamps in UV-NIL. Imprinting properties and anti-sticking treatments have been investigated. So far a minimum feature size of 50 nm can be achieved.  相似文献   

9.
We propose a very large-area ultraviolet imprint lithography process as a promising alternative to expensive conventional optical lithography for the production of display panels. This process uses a large-area hard stamp in a low vacuum environment. The hard quartz stamp is used to achieve high overlay accuracy, and the vacuum environment is required to ensure that air bubble defects do not occur during imprinting. We demonstrate that the quartz stamp with microscale patterns can be used for imprinting 18-in. diagonal substrates via single-step UV imprint in a low vacuum environment to obtain a practical residual layer thickness (RLT) for micro pattern transfer to the substrate. Numerical analysis is performed to clarify the physical phenomena underlying imprint process.  相似文献   

10.
A novel size reduction process using electron beam lithography (EBL) combining with wet etching technique is developed as a possible solution for producing large area and low cost nanopattern stamp for UV-based nanoimprint lithography (UV-NIL). In the first step, a microstructure stamp with 1.4 μm periodical pore array and aspect ratio of 1:1 was formed over a 1 inch2 area on a quartz substrate. This process was carried out using common electron beam lithography (EBL) equipment, which was easily available in the modern integrated circuits (IC) semiconductor factory. Afterwards, with a controlled wet etching technique, the pore array was changed into tip patterns with the line width below 100 nm and the period keeping as before. The uniformities and nanopattern accuracies were investigated to identify its possibility as a UV-NIL stamp by AFM and SEM. Finally, as a demonstration, the as obtained stamp was used as a positive stamp to replicate the nanotips into UV-curable resist successfully by a UV-NIL process. The method developed for the mold of nanoimprint lithography would be a simple and low price approach to fabricate large area UV-NIL stamp and the nanotip array structures would be widely used in two dimensional (2D) photonic crystal application.  相似文献   

11.
Nanoimprint lithography is a promising method for high-resolution, low-cost nanopatterning. In particular, ultraviolet-nanoimprint lithography (UV-NIL), which requires low imprint pressure, is effective for multi-layer processes. In this study, we investigated the non-uniformity of the residual layer thickness caused by wafer deformation in an experiment that examined different wafer thicknesses using UV-NIL with an element-wise patterned stamp (EPS). The EPS consisted of a number of elements, each separated by a channel. Experiments using the EPS were performed on an EVG620-NIL. Severe deformation of the wafer served as an obstacle to the spread of resin drops, which caused non-uniformity of the residual layer thickness. We also simulated the imprint process using a simplified model and finite element method to analyze the non-uniformity.  相似文献   

12.
Nanoimprint lithography (NIL) is a fast replication technology for structures with sizes ranging from micrometer down to few nanometers range. This paper describes the technology for imprinting of polymer substrates as well as spin-on polymers by using soft working stamp materials. A fully automated hot embossing system, the EVG®750 was built to use this rapid replication processes. By utilizing soft working stamps, we demonstrate the possibility to replicate, in fully automated mode, both high-aspect ratio features in thermoplastic materials as needed for microfluidic lab-on-chip applications as well as high resolution features down to 50 nm in polymer that can be used as templates for pattern transfer in the fabrication of plasmonic substrates for bio-sensing applications.  相似文献   

13.
GaN-based LEDs with photonic crystal (PhC) patterns on an n- and a p-GaN layer by nano-imprint lithography (NIL) are fabricated and investigated. At a driving current of 20 mA on Transistor Outline (TO)-can package, the light output power of the GaN-based LED with PhC patterns on an n- and a p-GaN layer is enhanced by a factor of 1.30, and the wall-plug efficiency is increased by 24%. In addition, the higher output power of the LED with PhC patterns on the n- and p-GaN layer is due to better crystal quality on n-GaN and higher scattering effect on p-GaN surface using PhC pattern structure.  相似文献   

14.
Thermal Soft UV nanoimprint lithography (NIL) was performed to replicate nanostructures in SU-8 resist. The SU-8 resist was structured with a PDMS stamp molded against an original silicon master which comported gratings of lines (500 nm width/1 μm pitch). The patterns obtained in SU-8 were used in a second step as a template for PDMS molding of daughter stamps. Pattern transfer quality and dimension control were achieved on these second generation PDMS stamps using AFM measurements. As a final validation of the whole duplication processes, these second generation PDMS stamps were finally employed to perform μCP of streptavidin molecules on a glass slide activated by plasma O2 treatment. AFM observation and fluorescence microscopy reveal that molecular patterns produced with SU8-molded PDMS stamps are not discernable from those obtained with a PDMS stamp directly molded on the original silicon master. Coupling Thermal Soft UV NIL and microcontact printing opens a new method for generating a large quantity of SU-8 templates on which functional PDMS stamps can be replicated in a reduced time. We thus propose a functional duplication process for soft-lithography implementation which may further reduce the cost of this technology for industrial development.  相似文献   

15.
为了减少紫外纳米压印技术脱模过程中的接触粘附力,开发了一种新型高流动、抗粘的紫外纳米压印光刻胶。光刻胶以BMA为聚合单体,添加特定配比的交联剂和光引发剂配置而成。紫外纳米压印实验在本课题组自主研发的IL-NP04型纳米压印机上完成。实验得到光刻胶掩膜膜厚为1.21μm,结构尺寸深246nm,周期937.5nm。实验结果表明,在没有对石英模板表面进行修饰的情况下,该光刻胶依然表现出高可靠性和高图形转移分辨率,有效减少了紫外纳米压印工艺中的模板抗粘修饰的工艺步骤。  相似文献   

16.
A novel nanopatterning process was developed by combining capillary force lithography (CFL) and microcontact printing (µCP). Flat polydimethylsiloxane (PDMS) was used as the substrate in CFL, and after chemical functionalization, as the stamp in µCP, which increased the resolution of both methods. The polymer patterns, produced by CFL on a thin polymer film on the flat PDMS substrate, acted as a mask to oxidize the uncovered regions of the PDMS. The chemical patterns were subsequently formed by gas phase evaporation of a fluorinated silane. After removal of the polymer, these stamps were used to transfer thiol inks to a gold substrate by µCP. Gold patterns at a scale of less than 100 nm were successfully replicated by these chemically patterned flat PDMS stamps.  相似文献   

17.
In this paper we present a comparative study of two e-Beam Lithography (EBL) processes for Nanoimprinting Lithography (NIL) master mold, i.e. the standard PMMA based EBL Si patterning process and the HSQ process. 20 nm features with minimal sidewall roughness and high uniformity are demonstrated on large surface by using HSQ process. Moreover, to validate this ultra-high resolution HSQ EBL process and to check NIL resolution performances, soft UV-NIL replications were performed using soft hard-PDMS/PDMS bi-layer stamps casted on the HSQ master mold. We demonstrate the replication of sub-20 nm nanodots of high density (pitch 60 nm) with a good uniformity on the whole field area.  相似文献   

18.
The implementation of high‐resolution polymer templates fabricated by capillary force lithography (CFL) is explored both in nanoimprint lithography (NIL) and in the wet‐etching of metals. Several different thermoplastic and UV‐curable polymers and types of substrates are incorporated into the general CFL procedure to meet the diverging requirements of these two applications. The mechanical stability of UV‐curable templates for imprinting in polymers, as examined by atomic force microscopy (AFM), and their anti‐adhesive properties are excellent for application in NIL. The conditions for curing the UV‐curable polymer are optimized in order to obtain high‐stability polymer templates. Gold patterns on silicon with a lateral resolution of 150 nm are fabricated by subsequent lift‐off in acetone. Similar patterns with a lateral resolution of 100 nm are fabricated using templates of thermoplastic polymers on gold layers on silicon as an etch mask. The transfer of stamp residues during CFL with these polymer templates is proven by X‐ray photoelectron spectroscopy (XPS) and AFM friction analysis. For poly(methylmethacrylate) (PMMA), the presence of large amounts of silicon‐containing residues is found to compromise the processability of the resulting template in subsequent O2 reactive‐ion etching (RIE) treatment. The extent of silicon contamination is up to six times less for polystyrene (PS). At this level, the etch performance of the PS etch mask is not affected, as was the case for PMMA. Accurate downscaling of the lateral dimensions of the resulting metal patterns by several factors with respect to the dimensions of the PS etch mask is achieved by over‐etching of the gold. Overall, the results in this paper demonstrate the potential of CFL templates as tools for high‐resolution soft lithography.  相似文献   

19.
In this paper, we present an alternative technique to the well-known electron beam lithography in order to realize nanoholes in the silicon substrates for biological applications. The used technique is soft UV nanoimprint lithography (UV-NIL). We optimized the fabrication of silicon based supports obtained by soft UV-NIL and reactive ion etching to carry out very large arrays of nanoholes. The resolution limits are investigated when using poly(dimethylsiloxane) as flexible mold material. RIE conditions are initiated to limit the lateral mask resist etch.  相似文献   

20.
We propose a process combining UV-assisted nanoimprint lithography (NIL) and shadow mask evaporation techniques to fabricate metallic nanoparticles with cavities. A bi-layer transparent soft stamp with a hard top layer containing the high resolution patterns was obtained by spin coating and casting methods of PDMS. Then, it was used to mold the top photo-curable resist on a thick PMMA layer. After removal of the residual NIL resist layer, high density and high aspect ratio PMMA nanopillar arrays were obtained by reactive ion etching. Afterward, a four step evaporation under oblique angle was performed to deposit the gold nanostructures at the top of nanopillars. After lift-off, uniformly sized gold nanocavities were collected. Dark-field microscopy imaging of the fabricated nanocavities shows a clear geometry dependence of the emission peak wavelength, thereby providing a novel types of bio-sensing nano-objects.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号