首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In this paper, we present the results of gold nanoparticles fabrication on large area by soft UV nanoimprint lithography (UV-NIL) and lift-off process for antibodies sensing. For this fabrication, the hard polydimethylsiloxane (H-PDMS) is used as flexible mold material. A simple AMONIL/PMMA bilayer is used to transfer patterns by etching the substrate with a suitable RIE process. The UV-NIL process has enabled to obtain gold nanoparticles with a diameter about 160 nm on a zone of 1 mm2. For bioplasmonic applications, the sensing of Anti-Biotin was studied.  相似文献   

2.
In this paper we present a comparative study of two e-Beam Lithography (EBL) processes for Nanoimprinting Lithography (NIL) master mold, i.e. the standard PMMA based EBL Si patterning process and the HSQ process. 20 nm features with minimal sidewall roughness and high uniformity are demonstrated on large surface by using HSQ process. Moreover, to validate this ultra-high resolution HSQ EBL process and to check NIL resolution performances, soft UV-NIL replications were performed using soft hard-PDMS/PDMS bi-layer stamps casted on the HSQ master mold. We demonstrate the replication of sub-20 nm nanodots of high density (pitch 60 nm) with a good uniformity on the whole field area.  相似文献   

3.
In this work, we demonstrate the fabrication of silicon nanowires down to 22 nm wide using trilayer nanoimprint lithography and wet etching. Using the same template prepared by E-beam lithography (EBL), nanowires with top width of 22 nm and 75 nm are fabricated on boron-doped top silicon layer of SOI substrate. The two samples are tested in 250 ppm NO2 ambient for gas detection. The 22 nm wide one shows a much higher relative sensitivity than the 75 nm wide one. The simulation which calculates the carrier density by solving Poisson equation was carried out and the results well explain the sensitivity disparity between the two samples.  相似文献   

4.
Transparent polymers are considered as alternative low-cost mold materials in UV nanoimprint lithography (UV-NIL). Here, we demonstrate a nanoimprint process with molds made of rigid polymers novel for this application. These polymer molds are found to show high performance in the patterning with UV-NIL. Sub-50 nm structures were fabricated with this process.  相似文献   

5.
The filling behavior of resin during UV nanoimprint lithography (UV-NIL) was observed by using a “midair structure mold” and by changing the imprint pressure. The midair structure molds were fabricated by electron beam lithography (EBL) using hydrogen silsesquioxane (HSQ) as a negative tone resist. After the fabrication of midair structure mold, two types of surface treatment molds, which were with or without release coating, were prepared. Using these molds, the filling behavior of a UV curable resin was investigated at various pressures. The results indicate that a pressure of approximately 1.2 MPa is necessary for complete filling in the case of molds treated with a release agent. This method demonstrates effect of a release coating for UV-NIL.  相似文献   

6.
《Microelectronic Engineering》2007,84(5-8):909-911
Novel reproduction technique for nanostructures is newly proposed based on nanoimprint and nanocasting lithography. First, a master nanostructure is replicated using fluorinated polymer by conventional thermal nanoimprint. Then, the master structure is reproduced using the replicated fluorinated polymer by nanocasting lithography. Using the fluorinated polymer as a mold, fine pattern is successfully transferred without releasing failure. Also, low cost reproduction is realized by nanocasting lithography without using special tools and materials. Using the proposed method, reproduction of the anti-reflection structure having 250 nm feature size is successfully demonstrated.  相似文献   

7.
A novel size reduction process using electron beam lithography (EBL) combining with wet etching technique is developed as a possible solution for producing large area and low cost nanopattern stamp for UV-based nanoimprint lithography (UV-NIL). In the first step, a microstructure stamp with 1.4 μm periodical pore array and aspect ratio of 1:1 was formed over a 1 inch2 area on a quartz substrate. This process was carried out using common electron beam lithography (EBL) equipment, which was easily available in the modern integrated circuits (IC) semiconductor factory. Afterwards, with a controlled wet etching technique, the pore array was changed into tip patterns with the line width below 100 nm and the period keeping as before. The uniformities and nanopattern accuracies were investigated to identify its possibility as a UV-NIL stamp by AFM and SEM. Finally, as a demonstration, the as obtained stamp was used as a positive stamp to replicate the nanotips into UV-curable resist successfully by a UV-NIL process. The method developed for the mold of nanoimprint lithography would be a simple and low price approach to fabricate large area UV-NIL stamp and the nanotip array structures would be widely used in two dimensional (2D) photonic crystal application.  相似文献   

8.
Micron length nanowires with varying widths were patterned in half-metallic La2/3Sr1/3MnO3 (LSMO) thin films of different thicknesses, using a thin negative-tone electron beam lithography (EBL) process. Patterns were realized in the high resolution hydrogen silsesquioxane (HSQ) inorganic resist and successfully transferred to the manganite via an energetic argon ion beam etching (IBE). We have obtained wires with widths down to 65 nm and length up to 4 μm that exhibit transport properties comparable with those of unpatterned thin films.  相似文献   

9.
Pattern density variation is uncomfortable for nanoimprint lithography which uses a moldable material supplied as a thin film, because the variation of pattern density causes variations of residual layer thickness reflecting on the local pattern density. To solve the problem, a new type of mold “capacity-equalized mold”, which has constant averaged depth regardless of pattern density, was fabricated and the structure of the mold was inspected. UV nanoimprint was then carried out using the mold and thickness and uniformity of the residual layer were investigated. An average thickness of 33.2 nm with a standard deviation of 3.4 nm was obtained for the mold pattern layout with a pattern density of from 0.25 to 0.75. It was found that a standard deviation of 1.2 nm was achieved for pattern density variation of from 0.33 to 0.67 by excluding artifacts.  相似文献   

10.
The aim of the work presented here was to develop curing polymers for nanoimprint lithography (NIL) enabling short cycle time, low imprint temperature, and an isothermal imprint process. The result is mr-NIL 6000LT: A photochemically curing polymer system for isothermal imprinting by combined thermal and UV nanoimprint lithography. It allows a lower imprint temperature than materials presented previously [C. Schuster, M. Kubenz, F. Reuther, M. Fink, G. Grützner, mr-NIL 6000 – New epoxy-based curing resist for efficient processing in combined thermal and UV nanoimprint lithography, in: Proceedings of SPIE 6517 2007, 65172B.; D.W. Johnson, H. Miller, M. Kubenz, F. Reuther, G. Grützner, Nanoimprinting with SU-8 Epoxy Resists, in: Proceedings of SPIE 6517 2007, 65172A.].The material system chosen is based on a blend of epoxy resins and a photo acid generator. Such epoxy resists cure during the imprint step in combined thermal and UV nanoimprint lithography. Initiated by UV exposure the cationic polymerisation occurs at elevated temperature forming a polymer pattern with significantly increased thermal stability compared to the uncured system.Apart from the material development leading to mr-NIL 6000LT the correlations between the parameters imprint temperature, exposure time and post exposure hold time are investigated in this work. With the applied resin combination a Tg of ?15 °C is obtained. This enables the formation of solid films at room temperature after spin-coating and prebake and nevertheless imprint temperatures in the range of 45–50 °C, which is a distinct decrease compared to the 100–110 °C needed for the previously introduced mr-NIL 6000 [C. Schuster, M. Kubenz, F. Reuther, M. Fink, G. Grützner, mr-NIL 6000 – New epoxy-based curing resist for efficient processing in combined thermal and UV nanoimprint lithography, in: Proceedings of SPIE 6517 2007, 65172B.] or the 65–70 °C necessary for defect-free imprinting of the epoxy-based polymer described in [D.W. Johnson, H. Miller, M. Kubenz, F. Reuther, G. Grützner, Nanoimprinting with SU-8 Epoxy Resists, in: Proceedings of SPIE 6517 2007, 65172A.]. mr-NIL 6000LT exhibits good dimensional stability at 120 °C after curing during the imprint process. This is sufficient for an isothermal imprint process as well as subsequent processes, e.g. metallization or etching.  相似文献   

11.
This study proposes a new fabrication method for the mold of a sub-micron grating array used in the nanoimprint lithography process. In general, the mold of a sub-micron grating array is fabricated by electron beam lithography (EBL) and reactive ion etching (RIE), and then, nanoimprint lithography (NIL) is used to achieve the required amount of replication. Such a method is expensive and has a low throughput, and the pattern is limited by the original mold. In this paper, we constructed a durable mold of a sub-micron grating array with good adaptability, using a commercial epoxy grating (EG) and a hybrid inorganic/organic sol-gel material combined with nanoimprint lithography and photolithography. Due to its low cost and ease of use, this method is suitable for both laboratory research and mass production without the need for expensive equipment like EBL or RIE.  相似文献   

12.
Efficiently combining active and passive elements in integrated optics is a key ingredient for their successful employment. Here, we present the fabrication of an optimized PMMA substrate structure for improved coupling of laser light generated by organic semiconductor distributed feedback lasers into single-mode deep ultraviolet induced waveguides. For production, electron beam lithography on an oxidized silicon wafer and subsequent reactive ion etching is used to form the feedback grating of the laser. Afterwards, an aligned second electron beam lithography step on top of the grating allows the fabrication of a topographical step of 1.67 μm on the edges of the grating area. Metal is evaporated on this resulting master structure serving as a plating base for electroforming of a Ni tool. The tool is then used for hot embossing of the structure into PMMA bulk material. On a length of 500 μm the imprinted grating lines, having a period of 200 nm, are 100 nm wide and 60 nm high. Aligned deep ultraviolet exposure to induce a passive single- or multi-mode waveguide and co-evaporation of the active material Alq3:DCM finish the coupling region. This structure optimizes the coupling of laser light generated in the laser structure into the passive waveguide. In combination with microfluidic channels, the laser light can be considered for sensing applications on a PMMA lab-on-chip system.  相似文献   

13.
《Microelectronic Engineering》2007,84(5-8):980-983
CD control in step & repeat UV-based nanoimprint lithography was investigated to determine effects of the physical contact between the template and the resist within each imprint. Over 100 fields were printed with a single template. For each field, a specific structure was located and four selected feature sizes were analyzed with SEM. In each case, the 3σ values were less than 9 nm. Negative effects of the printing process on CD control, such as a gradual increase in feature size due to accumulation of resist residue on the template over time, could not be observed. Constant feature sizes were maintained throughout all imprints performed with UV-based nanoimprint lithography.  相似文献   

14.
《Microelectronic Engineering》2007,84(5-8):1096-1099
The behaviour of a new epoxy based resist (mr-EBL 6000.1 XP) as a negative resist for e-beam lithography is presented. We demonstrate that it is possible to define sub-100 nm patterns when irradiating thin (120 nm) layers of resist with a 10 keV electron beam. The dependence of resolution and remaining thickness on electron dose, electron energy and photo acid generator (PAG) content is determined. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. It presents a good etch resistance, that allows transfer of patterns to the substrate with resolution below 100 nm.  相似文献   

15.
Pattern writing on insulating materials (e.g. quartz) using electron beam lithography (EBL) is a challenging task and it is even more difficult when the pattern is three dimensional (3D). Surface charging trapped on insulating substrates may deflect the electron beam during electron beam pattern writing causing undesired effects.In this work, the surface charging has been suppressed by top coating with water soluble conductive polymer layer using poly (3,4-Etylenedioxythiophene)/poly(styrenesulfonate) (PEDOT/PSS). The 3D masking profiles are created on a negative tone photoresist (Microresist, ma-N2403) using Raith150 EBL tool with variable dose controlled beam exposure. The 3D patterns have been transferred onto the quartz substrate by single step reactive ion etching (RIE) with suitable resist to substrate selectivity.We have demonstrated the fabrication of 3D geometrical shapes such as pyramids, hemispheres, and cones with dimensions down to 300 nm using this technique without any surface charging effects.  相似文献   

16.
We report on results of fabrication and optical characterisation of sub-250 nm periodic gold nanohole arrays on glass by using UV nanoimprint lithography (UV-NIL) combined with both reactive ion etching (RIE) and Cr/Au lift-off processes. The transmission spectra of the fabricated nanohole gratings were measured for different hole diameters and periods. We also show preliminary results of chemical sensing after surface modification of the gold hole arrays. In agreement with the theoretical prediction, we found that any change in the dielectric index of the surrounding environment of the metallic array produces a transmission peak red shift.  相似文献   

17.
Ultraviolet nanoimprint lithography (UV-NIL) is a powerful tool for nanoscale fabrication. However, the replication of high-density, high-aspect-ratio mold patterns by UV-NIL is very difficult because of the strong forces required to release the replicate from the mold. We used a glassy carbon (GC) mold with an antireflective structure, fabricated by irradiation with an oxygen-ion beam, to produce a high-density, high-aspect-ratio pattern, and we evaluated its release properties. The fabricated GC surface contained high-aspect-ratio conical structures with pitch of less than 100 nm. After fabrication of the antireflective structure, the mold surface was coated with chromium and a fluorinated silane coupling agent. By using this treatment and a peel motion during mold release, faithful replication of the mold structure in photocurable resin was possible. The release force increased with increasing mold surface area; the surface area effect is therefore the main factor in the mold-release step.  相似文献   

18.
Thermoplastics are commonly used in thermal nanoimprint lithography (NIL) but their high viscosity leads to inhomogeneities of residual thickness in patterns with various densities. Monomers exhibit low viscosity and are imprinted easily and polymerized with UV–NIL processes. These monomers can be also used for thermal NIL. We have imprinted A-POSS material which is spontaneously polymerized at 170 °C. The inorganic part of this monomer is interesting for pattern transfer and for permanent applications. Thermal properties of this molecule are presented in this paper. It is shown that polymerization occurs at 170 °C, and that the viscosity is 1330 mPa s at ambient temperature. Imprint experiments have demonstrated that A-POSS flows over larger surfaces during imprint step, compared to thermoplastics. Patterns with different densities have been studied and different filling regimes have been observed depending on material viscosity. They are induced by a competition between material flow and mold deformation. Finally, we imprinted some nanoelectrodes simultaneously with millimetric large connection pads, and it was demonstrated that complete filling was obtained with monomers whereas this was not possible with thermoplastics.  相似文献   

19.
For the next generation of micro–electro-mechanical-systems (MEMS) with flexibility and large size, we are developing new kinds of MEMS that will be woven fabric of “on-fiber-devices”. An on-fiber-device is realized by thin-film-coating, patterning, and etching on the surface of a thin fiber that is then transformed into fiber-shaped device to make MEMS such as sensors and actuators. These on-fiber-devices that themselves are in shape of fibers are woven and criss-crossed resulting in new devices with novel functions. The contact points, interconnecting the woven fibers are designed to be fixed with respect to each other where they can make electrical contacts as necessary. We have developed a thermal nanoimprint technology to fabricate weaving guide structures supporting electric contact points on the surface of a thin fiber. The cross-sectional shape of the weaving guide structure was made to be rectangular, and arrays of cylinder poles of 5, 10 and 20 μm in diameters were arranged as supporting structures for making electrical contacts with the bottom of the weaving guide structure. A mold for this purpose required a two-step structure capable of imprinting weaving guide structure, and the contact points on the surface of a fiber in one stamping operation. Such a mold was fabricated by combining MEMS processing with Ni-electroforming technology. Four kinds of guide structures with depths of 20, 30, 40 and 50 μm were processed by adjusting the dry-etching during the making of a Si master. Using these electroformed-Ni molds, these different weaving guide structures, each with a set of 5-, 10- and 20-μm diameter cylinder poles were transferred onto a 90-μm diameter nylon fiber by thermal imprinting.  相似文献   

20.
A novel method to fabricate double layer microlens array is proposed where the second smaller microlens are imprinted on the first larger microlens by using soft lithography twice. Key step to implement this method is to imprint micron-size structures on convex surface using nano-imprinting technology. It is required to prepare thin polydimethylsiloxane (PDMS) mold for the second soft lithography and thus different thickness of PDMS molds have been tested. It is found that 870 μm thick mold is good for fine duplication and durability. We have successfully fabricated the first microlens hemisphere of 51 μm diameter and the second microlens of 3 μm diameter on top of the first. The double microlens array shows more focused light spot when viewed through optical microscope.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号