首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We report on the comparison of mesa sidewall profiles of InAs/GaSb strained-layer superlattice (SLS) detector structures (λ 50% cutoff ≈ 14 μm at V bias = 0 V and T = 30 K) obtained after (a) a conventional BCl3-based inductively coupled plasma etch, (b) a chemical etch (H2O2:HCl:H2O, 1:1:4), and (c) a combination of both etches. We found that the smoothest sidewall profile with reasonable undercut (~5 μm) was obtained after chemical etch only. The chemical etch was optimized primarily using an n-type GaSb substrate. During this process, numerous chemical etchants were examined. GaSb n-type substrates were chosen for this study in preference over InAs substrates due to their high chemical reactivity and the complicated composition of the native oxide. In addition, SLS detectors are usually grown on GaSb substrates and, after hybridization of the focal-plane array to the readout integrated circuit, the GaSb substrate is etched away using a combination of wet and dry etching techniques. We found that H2O2:HCl:H2O (1:1:4) etching solution provided the smoothest etched surface of GaSb, with a root-mean-square roughness of 1.59 nm.  相似文献   

2.
InAs/GaSb SLs探测器台面刻蚀常用的工艺有干法刻蚀和湿法刻蚀.研究了三种等离子刻蚀气体(Cl2基, Ar基和CH4基)对超晶格的刻蚀效果,SEM结果表明,CH4基组分能够得到更加平整的表面形貌和更少的腐蚀坑;之后采用湿法腐蚀工艺,用于消除干法刻蚀带来的刻蚀损伤,分别研究了酒石酸系和磷酸系两种腐蚀溶液的去损伤效果,结果表明,磷酸系腐蚀液的去损伤效果更好,且腐蚀速率更加稳定.采用优化的台面工艺制备了InAs/GaSb SLs探测器,其I-V特性曲线表明二极管具有较低的暗电流,其77 K时动态阻抗R0A =1.98104 cm2.  相似文献   

3.
采用分子束外延(MBE)方法,在(001)GaAs衬底上生长了短周期Ⅱ型超晶格(SLs):InAs/GaSb (2ML/8ML)和InAs/GaSb (8ML/8ML).从X射线衍射(HRXRD)中计算出超晶格周期分别为31.2和57.3.室温红外透射光谱表明两种超晶格结构在短波2.1μm和中波5μm处有明显吸收.通过腐蚀、光刻和欧姆接触,制备了短波和中波的单元光导探测器.在室温和低温下进行光谱响应测试和黑体测试,77K下,50%截止波长分别为2.1μm和5.0μm,黑体探测率D·bb均超过2×108cmHz1/2/W.室温下短波探测器D·bb超过108cmHz1/2/W.  相似文献   

4.
《Solid-state electronics》2006,50(7-8):1183-1188
Thyristors able to block 4 kV have been fabricated and characterised. The experimental forward current is 1.3 A @ VAK = 10 V for a 9 mA gate current during 550 ns. The device active area is 2.3 mm2. The devices and their edge terminations have been designed using numerical simulations. Two different edge terminations have been envisaged (mesa and a combination of mesa and JTE). A SiO2 passivation layer also improves the forward blocking voltage depending on the sign and the magnitude of the effective charge density in the oxide. The mesa protection is not enough to allowing the thyristor to block 5 kV, due to the low etching rate in SiC. Thus, a mesa/JTE protection has been used. The influence of the etching depth, the JTE dose and length on the forward blocking voltage of the thyristor has been studied in details. Simulation results have allowed designing the devices, not far from the optimal structure. The best results of the forward blocking voltage are 4 kV for the mesa protected thyristor, while the mesa/JTE combination yields 3.6 kV. Furthermore, experimental results confirm the simulations concerning the influence of the oxide thickness on the forward blocking voltage. The better results for the mesa protected thyristor are due to a lower interface SiC/SiO2 charge density provided by the different oxidation processes (at different foundries).In addition, the comparison between experiments and simulations allows estimate the effective charge density of the SiO2 layer in 1012–5 × 1012 cm−2 range for the two fabricated thyristors. The improvement in the forward blocking voltage must pass through an improvement of the passivation layer. Passivation still remains a technological key step to obtain SiC high-voltage devices.  相似文献   

5.
本文报道了采用Cl2/N2电感耦合等离子(ICP)组合体刻蚀工艺在InAs/GaSb II类超晶格红外焦平面台面加工过程中的研究结果,实验采用分子束外延技术在GaSb衬底上生长的PIN型超晶格材料。结果表明,气体流量比例直接对刻蚀速率和刻蚀形貌产生影响,氯气含量越高,刻蚀速率越大,当氮气含量增加,刻蚀速率降低并趋于一定值。当氯气和氮气的流量比例和等离子腔体内压力等参数一定时,随着温度升高,刻蚀速率和选择比在有限范围内同时线性增大,台面的倾角趋于直角,台面轮廓层状纹理逐渐消失,但沟道内变得粗糙不平,并出现坑点。在实验研究范围内,电感耦合等离子源的ICP功率和RF功率对刻蚀结果产生的影响较小。  相似文献   

6.
Acidic wet chemical etching of crystalline silicon has been examined by utilization of HF–NOHSO4–H2SO4 mixtures. In light of our previous studies the effects of nitrosyl ion concentrations on etching rates were studied time- and temperature resolved. The reactivity of crystalline silicon surfaces in HF/H2SO4 solutions is determined by NO+-ion concentrations at the silicon/electrolyte interface, measured by ion chromatography. Quantitative solution analysis proofed accumulation of ammonium ions and indicated the conversion of NO+ as limiting for the overall etching process. Direct participation in the rate-limiting step was confirmed by calculation of activation energies. Increasing NO+-ion contents cause transition from reaction (EA=55 kJ mol?1) to diffusion controlled (EA=10 kJ mol?1) etching procedures. In combination with time and concentration dependent studies of produced structures a convenient regime for selective texturing or polishing polycrystalline silicon surfaces is reported. Qualitative analysis by 19F-NMR and Raman spectroscopy identified SiF5?/HF2? complexes as well as elementary hydrogen (H2) as hitherto unknown products of silicon dissolution reactions in HF–NOHSO4–H2SO4 mixtures. Based on our findings a strategy for fundamental investigations of relevant reaction pathways is presented and discussed with regard to reported mechanistic concepts.  相似文献   

7.
An infrared camera based on a 256×256 focal plane array (FPA) for the second atmospheric window (3–5 μm) has been realized for the first time with InAs/GaSb short period superlattices (SLs). The SL detector structure with a broken gap type-II band alignment was grown by molecular beam epitaxy on GaSb substrates. Effective bandgap and strain in the superlattice were adjusted by varying the thickness of the InAs and GaSb layers and the controlled formation of InSb-like bonds at the interfaces. The FPAs were processed in a full wafer process using optical lithography, chemical-assisted ion beam etching, and conventional metallization technology. The FPAs were flip-chip bonded using indium solder bumps with a read-out integrated circuit and mounted into an integrated detector cooler assembly. The FPAs with a cut-off wavelength of 5.4 μm exhibit quantum efficiencies of 30% and detectivity values exceeding 1013 Jones at T=77 K. A noise equivalent temperature difference (NETD) of 11.1 mK was measured for an integration time of 5 ms using f/2 optics. The NETD scales inversely proportional to the square root of the integration time between 5 ms and 1 ms, revealing background limited performance. Excellent thermal images with low NETD values and a very good modulation transfer function demonstrate the high potential of this material system for the fabrication of future thermal imaging systems.  相似文献   

8.
The outstanding electron transport properties of InGaAs and InAs semiconductor materials, makes them attractive candidates for future nano-scale CMOS. In this paper, the ON state and OFF state performance of 30 nm gate length InGaAs/InAs/InGaAs buried composite channel MOSFETs using various high-K dielectric materials is analyzed using Synopsys TCAD tool. The device features a composite channel to enhance the mobility, an InP spacer layer to minimize the defect density and a heavily doped multilayer cap. The simulation results show that MOSFETs with Al2O3/ZrO2 bilayer gate oxide exhibits higher gm/ID ratio and lower sub threshold swing than with the other dielectric materials. The measured values of threshold voltage (VT), on resistance (RON) and DIBL for Lg = 30 nm In0.53Ga0.47As/InAs/In0.53Ga0.47As composite channel MOSFET having Al2O3/ZrO2 (EOT = 1.2 nm) bilayer dielectric as gate oxide are 0.17 V, 290 Ω-µm, and 65 mV/V respectively. The device displays a transconductance of 2 mS/µm.  相似文献   

9.
An experimental study has been carried out on the performance of n-type x = 0.31 HgCdTe photoconductive detectors in order to evaluate two different etching techniques; dry plasma etching, in the form of H2/CH4 reactive ion etching (RIE), and wet chemical etching using bromine in hydrobromic acid. Two-dimensional laser beam-induced current (LBIC) imaging was employed as an in-line process monitoring tool to evaluate the lateral extent of reactive ion etching (RIE) induced doping changes in the HgCdTe epilayer following mesa delineation. Responsivity and noise measurements were performed on fabricated mid-wavelength infrared (MWIR) photoconductive devices to evaluate the influence dry plasma etching has on material properties. For a signal wavelength of 3 μm, 60° field of view, and a temperature of 80 K, background limited D λ * performance was recorded for wet chemical processed devices but not for the dry plasma processed devices. The D λ * values obtained for wet chemical and dry plasma etched photoconductive detectors were 2.5×1011 cmHz1/2W−1 and 1.0×1010 cmHz1/2W−1, respectively. Mercury annealing, which has been shown to restore the electrical properties of dry plasma processed HgCdTe, could be used to lessen the influence that RIE dry plasma etching has on photoconductor detector performance.  相似文献   

10.
《Microelectronics Journal》2007,38(6-7):800-804
The 20-nm-thick Si cap layer/74-nm-thick Si0.72Ge0.28 epilayer/Si heterostructures implanted by 25 keV H+ ion to a dose of 1×1016 cm−2 were annealed in ultra-high vacuum ambient and dry O2 ambient at the temperature of 800 °C for 30 min, respectively. Rutherford backscattering/ion channeling (RBS/C), Raman spectra, high-resolution X-ray diffraction (HRXRD) and atomic force microscopy (AFM) were used to characterize the structural characteristics of the Si0.72Ge0.28 layer. Investigations by RBS/C demonstrated that the crystal quality of the Si/Si0.72Ge0.28/Si heterostructure sample implanted by 25 keV H+ in conjunction with subsequent annealing in dry O2 ambient is superior to that of identical sample annealing in ultra-high vacuum ambient. The less strain relaxation of SiGe layer of the Si/Si0.72Ge0.28/Si heterostructures implanted by H ion and annealed in dry O2 ambient at the temperature of 800 °C for 30 min could be doublechecked by Raman spectra as well as HRXRD, which was compared with that in an identical sample annealed in ultra-high vacuum ambient for identical thermal budget. In addition, the SiGe layer of the H-implanted Si/SiGe/Si heterostructural sample annealed in dry O2 ambient accompanied by better crystal quality and less strain relaxation made its surface morphology superior to that of the sample annealed in ultra-high vacuum ambient at the temperature of 800 °C for 30 min, which was also verified by AFM images.  相似文献   

11.
This paper presents a detailed study on the sensitivity and selectivity of α-Fe2O3 thin films produced by deposition of Fe and post-deposition annealed at two temperatures of 600 °C and 800 °C with flow of oxygen for application as a sensor for toxic gases including CO, H2S, NH3 and NO2 and alcohols such as C3H7OH, CH3OH, and C2H5OH. The crystallographic structure of the samples was studied by X-ray diffraction (XRD) method while an atomic force microscope (AFM) was employed for surface morphology investigation. The electrical response of the films was measured while they were exposed to various toxic gases and alcohols in the temperature range of 50–300 °C. The sample annealed at higher temperature showed higher response for different gases and alcohols tested in this work which can be due to the higher resistance of this sample. Results also indicated that the α-Fe2O3 thin films show higher selectivity to NO2 gas relative to the other gases and alcohols while the best sensitivity is obtained at 200 °C. The α-Fe2O3 thin film post-deposition annealed at 800 °C also showed a good stability and reproducibility and a detection limit of 10 ppm for NO2 gas at the operating temperature of 200 °C.  相似文献   

12.
Beta phase Gallium trioxide (β-Ga2O3) thin film was grown by metal organic chemical vapor deposition technology. Mixture gases of SF6 and Ar were used for dry etching of β-Ga2O3 thin film by inductively coupled plasma (ICP). The effect of SF6/Ar (etching gas) ratio on etch rate and film etching damage was studied. The etching rate and surface roughness were measured using F20-UN thin film analyzer and atomic force microscopy showing that the etching rate in the range between 30 nm/min and 35 nm/min with an improved surface roughness was obtained when the reactive mixed gas of SF6/Ar was used. The analysis of X-ray diffraction and transmission spectra further confirmed the non-destructive crystal quality. This work demonstrates that the properly proportioned mixture gases of SF6/Ar is suitable for the dry etching of β-Ga2O3 thin film by ICP and can serve as a guide for future β-Ga2O3 device processing.  相似文献   

13.
Inductively coupled plasma reactive ion etching (ICP-RIE) of sapphire wafers using C2F6- and NF3-based plasma was investigated as a function of ICP power, bias power, pressure, and plasma chemistry. Etch rate of about 150 nm/min in the case of C2F6 plasma and about 260 nm/min in the case of NF3 plasma was obtained at the optimum condition, with anisotropic profiles and smooth surfaces. No chamber corrosion was observed after the etching, indicating that ICP-RIE using the fluorine-related gases is a promising technique for sapphire patterning.  相似文献   

14.
Porous silicon films obtained by the metal-assisted vapor-chemical etching technique have been characterized. For the film formation, epitaxial (100) N/P+, 1–5 Ω cm monocrystalline silicon wafers were used. The vapors of an alcoholic solution of H2O2/HF were drawn towards the silicon surface, which was previously covered with a thin layer of gold (~8 nm) for the catalytic etching. For the optical and morphological characterization of porous films, Raman spectroscopy, Ellipsometry, FTIR spectroscopy and SEM images were used. The films thickness kept a linear relationship with etching time. A porosity gradient from the surface towards the interface (65% to 12%) was observed in the films. A large amount of Si–H bonds as related to O–Si–O bonds were observed and the pore size depends on the HF concentration. Irregular morphology was found in films formed with 50% HF.  相似文献   

15.
InAs/GaSb and InAs/InAsSb type II superlattices have been proposed as promising alternatives to HgCdTe for the photon-absorbing layer of an infrared detector. When combined with a barrier layer based on an InAs/AlSb superlattice or an AlSbAs alloy, respectively, they can be used to make diffusion-limited “barrier” detectors with very low dark currents. In this work we compare theoretical simulations with experimental bandgap and photoabsorption data for such superlattices, spanning from the mid to the long-wave infra-red (2.3–12 μm). The spectral response of detectors based on these materials is also simulated. The simulations are based on a version of the k · p model developed by one of the authors, which takes interface contributions and bandgap bowing into account. Our results provide a way of assessing the relative merits of InAs/GaSb and InAs/InAsSb superlattices as potential detector materials.  相似文献   

16.
本文采用SiO2/SiN作为掩膜对InAs/GaSbⅡ类超晶格红外材料进行感应耦合等离子体(ICP)刻蚀条件研究,得到InAs/GaSbⅡ类超晶格较好的刻蚀条件以提升红外探测器性能。对ICP刻蚀过程中容易出现台面侧向钻蚀以及台面底部钻蚀两种现象进行了详细研究,通过增加SiO2膜层厚度以及减小Ar气流量,可有效减少台面侧向钻蚀;通过减小下电极射频功率(RF),可有效消除台面底部钻蚀。采用适当厚度的SiO2/SiN掩膜以及优化后的ICP刻蚀参数可获得光亮平整的刻蚀表面,表面粗糙度达到0.193 nm;刻蚀台面角度大于80°,刻蚀选择比大于8.5:1;采用优化后的ICP刻蚀条件制备的长波640×512焦平面器件暗电流密度降低约1个数量级,达到3×10-4 A/cm2,响应非均匀性、信噪比以及有效像元率等相关指标均有所提高,并获得了清晰的焦平面成像图。  相似文献   

17.
《Solid-state electronics》2006,50(9-10):1483-1488
A new self-aligned emitter–base metallization (SAEBM) technique with wet etch is developed for high-speed heterojunction bipolar transistors (HBTs) by reducing extrinsic base resistance. After mesa etch of the base layer using a photo-resist mask, the base and emitter metals are evaporated simultaneously to reduce the emitter–base gap (SEB) and base gap resistance (RGAP). The InP/InGaAs/InP double heterojunction bipolar transistor (DHBT) fabricated using the technique has a reduced RGAP, from 16.48 Ω to 4.62 Ω comparing with the DHBT fabricated by conventional self-aligned base metallization (SABM) process. Furthermore, we adopt a novel collector undercut technique using selective etching nature of InP and InGaAs to reduce collector–base capacitance (CCB). Due to the reduced RGAP, the maximum oscillation frequency (fmax) for a 0.5 μm-emitter HBT is improved from 205 GHz to 295 GHz, while the cutoff frequency (fT) is maintained at around 300 GHz.  相似文献   

18.
Inductively coupled plasma (ICP) using hydrogen-based gas chemistry has been developed to meet requirements for deep HgCdTe mesa etching and shallow CdTe passivation etching in large format HgCdTe infrared focal plane array (FPA) fabrication. Large format 2048×2048, 20-μm unit-cell short wavelength infrared (SWIR) and 2560×512, 25-μm unit-cell midwavelength infrared (MWIR) double-layer heterojunction (DLHJ) p-on-n HgCdTe FPAs fabricated using ICP processing exhibit >99% pixel operability. The HgCdTe FPAs are grown by molecular beam epitaxy (MBE) on Si substrates with suitable buffer layers. Midwavelength infrared detectors fabricated from 4-in. MBE-grown HgCdTe/Si substrates using ICP for mesa delineation and CdTe passivation etching demonstrate measured spectral characteristics, RoA product, and quantum efficiency comparable to detectors fabricated using wet chemical processes. Mechanical samples prepared to examine physical characteristics of ICP reveal plasma with high energy and low ion angle distribution, which is necessary for fine definition, high-aspect ratio mesa etching with accurate replication of photolithographic mask dimensions.  相似文献   

19.
A multiple mask technique, integrating patterned silicon dioxide (SiO2) film over patterned thick photoresist (PR) film, has been investigated as a method to perform mesa etching for device delineation and electrical isolation of mercury cadmium telluride (HgCdTe) third-generation infrared focal-plane arrays. The multiple mask technique was achieved by standard thick PR photolithography, SiO2 film deposition to cover the thick PR patterned film, and etching the SiO2 film at the bottom region after another photolithography process. The dynamic resistance in the zero-bias and low-reverse-bias regions of HgCdTe photodiode arrays isolated by inductively coupled plasma (ICP) etching with the multiple mask of patterned SiO2 and patterned thick PR film underneath was improved one- to twofold compared with a simple mask of patterned SiO2. It is suggested that the multiple mask technique is capable of maintaining high etching selectivity while reducing the side-wall processing-induced damage of ICP-etched HgCdTe trenches. The results show that the multiple mask technique is readily available and shows great promise for etching HgCdTe mesa arrays.  相似文献   

20.
The role of HBr and oxygen on the etch selectivity and the post-etch profile in a polysilicon/oxide etch using HBr/O2 based high density plasma was studied. HBr/O2-based polysilicon etch process used in this study seems to be highly selective to the underlying oxide and produce a dielectric fill-friendly post-etch profile depending on the flow rates of HBr and oxygen. When appropriate amounts of HBr and oxygen (∼30 sccm of HBr and ∼3 sccm of oxygen) are present in the etch plasma, brominated silicon oxide seems to be deposited on the original gate oxide and the gate stack sidewall from the reaction of SiBrx (reaction product during polysilicon etch step) and oxygen during the HBr/O2-based oxide etch process. The deposited brominated oxide on the thin gate oxide seems to make the HBr/O2-based plasma etch process extremely selective to the thin gate oxide by protecting the underlying gate oxide. The deposited brominated oxide on the gate stack sidewall seems to prevent the notching by protecting the sidewall during gate stack etching. The etch rate of the brominated oxide seems to be much faster than that of the thermal oxide during the 200:1 diluted HF cleaning. However, the deposited brominated oxide on the thin gate oxide and the gate stack sidewall during the plasma etching survived the following 1 min 200:1 diluted HF cleaning, as was observed in a TEM micrograph (Fig. 2(a)).  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号