首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 609 毫秒
1.
基于8051核进行系统芯片(SoC)的设计能力得到了很大提高,但同时带来了该类芯片特别是采用数模混合设计时的验证和应用仿真问题。本文利用通用8051仿真器,并根据一款以805l为内核系统芯片的验证和仿真要求,提出一种进行SoC芯片模拟验证和仿真的方案;利用该方案,实现对基于805l内核数模混合SoC芯片的模拟验证和仿真。  相似文献   

2.
基于SystemC的SoC行为级软硬件协同设计   总被引:5,自引:0,他引:5  
张奇  曹阳  李栋娜  马秦生 《计算机工程》2005,31(19):217-219
针对目前SoC设计中存在的软硬件协同验证的时间瓶颈问题,提出了一种使用系统建模语言SystemC对SoC进行总线周期精确行为级建模的方法,采用该方法构建SoC芯片总线周期精确行为级模型进行前期验证。该模型基于32位RISC构建,并可配置其它硬件模块。实验结果表明:模型完全仿真实际硬件电路,所有的接口信号在系统时钟的任一时刻被监测和分析,很大程度地提高了仿真速度,并且可以在前期作系统的软硬件协同仿真和验证,有效地缩短了目前SoC芯片设计中在RTL级作软硬件协同仿真验证时的时间开销。  相似文献   

3.
数模混合信号仿真已经成为SoC芯片验证的重要环节。文章以一款固网短信电话专用SoC芯片为例,介绍一种使用Synopsys公司的NanoSim-VCS协同仿真环境进行仿真的验证方法,并给出验证结果。  相似文献   

4.
AFDX-ES SoC虚拟仿真平台的构建与应用   总被引:1,自引:2,他引:1  
田靖  田泽 《计算机技术与发展》2010,20(8):192-194,198
随着集成电路技术的快速发展,SoC设计的规模、复杂度和集成度日益增加,给SoC设计的仿真验证提出了巨大挑战。简要介绍了AFDX网络,并结合AFDX终端系统SoC的设计,阐述了软硬件协同设计方法,提出了一种基于虚拟仿真平台的验证方法,详细论述了该平台的构建过程并举例说明了该平台的实际仿真验证应用方式。在芯片设计验证过程中,利用该平台有效地验证了芯片逻辑功能的正确性,保证了仿真验证的覆盖率,缩短了SoC设计验证开发周期,流片结果进一步证明了该方法的正确性,对类似SoC设计具有一定的参考价值。  相似文献   

5.
硬件仿真器是加快时间敏感网络TSN芯片验证的重要手段。由于TSN芯片复杂性远低于SoC芯片,基于CPU的硬件仿真器可满足TSN芯片验证的需求。为满足TSN芯片设计需求,设计实现了一个面向TSN芯片验证的硬件仿真器OpenEmulator。针对TSN系统仿真的特点,提出了一种应用于OpenEmulator的时间同步互锁机制,实现了运行芯片HDL设计代码的硬件仿真域与运行真实TSN软件的物理域之间的精确时间同步。OpenEmulator已经在OpenTSN芯片设计中得到应用,基于普通PC机,可在20 min内仿真包含6个节点的TSN网络初始化和首次时间同步功能,大大提升了TSN芯片仿真验证的效率。目前OpenEmulator已经开源并集成到最新发布的OpenTSN开源项目3.4版本中。  相似文献   

6.
车彬  樊晓桠 《计算机测量与控制》2009,17(8):1473-1475,1478
超深亚微米工艺和基于可复用嵌入式IP模块的系统级芯片(SoC)设计方法使测试面临新的挑战,需要研究开发新的测试方法和策略;本文首先介绍了在CMOS集成电路中的IDDQ测试方法,介绍其基本原理,展示了测试的优越性,CMOS IC本质上是电流可测试,IDDQ和功能测试相结合,可大大改善故障覆盖率,提高测试的有效性;最后提出了一种基于IDDQ扫描的SOC可测性方案,是在SoC扫描测试中插入IDDQ的测试方法,这是一种基于BICS复用的测试技术,并给出了仿真结果最后得出结论。  相似文献   

7.
对于现有软核处理器存在使用灵活性差、功耗高等问题,提出基于RISC-V架构的电力专用片上系统。采用RISC-V核作为标量处理器,按照系统功能设计、顶层结构设计、IP模块设计、功能仿真验证、综合布线、芯片制造等设计流程设计SoC芯片。实验结果证明,所提研究的SoC芯片仿真运行频率可达到100 MHz,且能灵活稳定运行,功耗较低,性能较高,能够对电力系统中的突发故障进行实时的监测和预警,可广泛应用于智能电网系统和智能家电的控制。  相似文献   

8.
针对基于超外差或低中频的传统导航接收机模拟前端电路功能复杂、功耗高、不利于单片集成等问题,基于模拟最小化,数字最大化的思想,通过芯片内部集成高增益射频放大器、低功耗的高速模数转换器、低抖动的时钟锁相环,创新性地设计并实现了一款基于软件无线电架构的接收机模拟前端电路。通过55 nm CMOS工艺电路设计、版图设计、仿真及硅流片验证,测试结果表明该接收机前端电路各模块功能正常,实现了单个模拟接收通道处理多模导航信号,极大地降低了模拟电路的规模及功耗并成功应用于一款多模导航SoC芯片中。  相似文献   

9.
时序验证是SoC片上系统设计中的关键问题。本文在嵌入MIPS内核的HDTV解码SoC芯片设计过程中,采用MIPS的VMC仿真模型对SoC系统进行了基于门级网表文件的软硬件协同的动态时序仿真。在仿真环境下,通过加载MIPS的Boot Loader程序对芯片的功能和时序进行有效的验证。在此基础上,用门级仿真过程中生成的VCD(Value Change Dump)文件对完成物理设计的SoC芯片的功耗进行了有效的估计和分析。  相似文献   

10.
汪翼  沈海斌  樊俊锋 《计算机工程》2006,32(16):235-236
随着LCD在嵌入式系统中的广泛应用,LCD控制器IP成为SoC芯片中的一个重要部件。文章介绍了一种支持TFT和STN屏的LCD控制器设计。该设计使用了基于空间散列的帧频控制算法以优化LCD显示图像质量,并使用两级缓存结构以保证稳定的输出数据流。文章同时给出了模块化可配置的自动验证方案,并在FPGA上实现了该设计。  相似文献   

11.
SoC芯片设计方法及标准化   总被引:13,自引:2,他引:13  
随着集成电路技术的迅速发展,集成电路已进入系统级芯片(SoC)设计时代,SoC芯片的集成度越来越高,单芯片上的集成度和操作频率越来越高,投放市场的时间要求越来越短,为了实现这样的SoC芯片,设计越来越依赖IP模块的重用,SoC复杂性的提高和IP模块的多样化,SoC芯片中多个厂商不同IP模块的使用,导致了IP模块可重用的许多问题,IP模块和片上总线,以及EDA工具接口的标准化,是解决IP模块标准化的很好途径,另一方面,SoC芯片设计的复杂性和嵌入软件所占比重的增加,要求更高层次的系统抽象和软硬件的协同设计,使用更流地的设计进行系统的硬件设计和更有效的系统设计方法,描述了SoC芯片设计中的IP模块可重用技术以及所存在的问题,介绍了SoC IP模块和片上总线结构的标准化,讨论了基于C/C++扩展类库的系统级描述语言和基于平台的SoC设计方法。  相似文献   

12.
金晶  孙维  王萌  李元 《微处理机》2006,27(1):7-9
在分析了广为应用的级联积分梳状滤波器(CIC)的改进结构Sharpened_CIC的基础上,引入了一些专用集成电路/可编程芯片的现代EDA设计技术,给出一种它的实现方案;并结合MatLab和芯片仿真工具提出了一种对复杂信号的仿真测试方法,应用于方案的验证。  相似文献   

13.
HyperLynx在多FPGA系统设计中的应用   总被引:1,自引:0,他引:1  
随着技术的进步,SoC(System—on-Chip)已经成为一种发展趋势,这对在FPGA上进行功能验证提出了更高的要求。而FPGA容量的增长速度远落后于ASIC芯片规模的增长速度,因此构建多FPGA系统成为唯一可行的解决方案。信号完整性使多。FPGA设计面临严峻挑战,通过HyperLynx仿真可以发现设计中的问题,对设计进行指导,保证了设计的成功,并有助于提高系统的性能。  相似文献   

14.
SoC软硬件协同验证技术的应用研究   总被引:4,自引:1,他引:3  
介绍了软硬件协同验证的原理,给出了笔者在实际SoC开发中采用的四种软硬件协同验证方案。根据软硬件协同仿真原理提出CFM方案。对几种方案进行比较,并提出在实际SoC设计中选取软硬件协同验证方案的建议。  相似文献   

15.
采用JTAG结构实现SoC芯片的片上仿真器及接口   总被引:2,自引:1,他引:2  
片上仿真(OnChipEmulation)是系统级芯片(System-on-a-Chip,SoC)进行调试与诊断的新型方法。文章讨论了一种采用JTAG结构实现SoC芯片片上仿真器的方法。此方法已应用于以CCORE为核心的SoC设计平台上。  相似文献   

16.
文章介绍了一种基于直接数字合成(DDS)技术,利用CPLD芯片和其它外围电路生成测试设备所需的雷达视频模拟信号和其它信号的硬件实现方案并给出部分仿真结果。该项设计通过数字控制可以灵活的模拟不同特性目标回波信号,并提供其它激励信号,具有良好的可编程性。  相似文献   

17.
基于硅基三维集成模块和印制板(Printed Circuit Board,PCB)混压工艺,设计了一种小型化Ku波段收发组件,并对其原理方案和具体实现进行了介绍。该收发组件整体电路采用三维集成架构实现,射频及中频芯片和其外围电路集成于硅基三维集成模块中,外部电路板采用射频与低频混压印制板,模块和印制板通过球栅阵列(Ball Grid Array, BGA)互联。通过对信号过渡结构进行优化设计,降低了信号传输损耗,提升了通道间隔离度。电路测试表明,组件在工作频带内满足通道间幅度一致性、带内平坦度、噪声系数等指标要求,且符合组件小型化、高集成度、高一致性、高可生产性的现实需求。  相似文献   

18.
详细分析了S698P4 SoC芯片存储器控制器的控制原理,并给出相应设计方案和仿真结果。该控制器可在32bit位宽模式下对存储器进行读写控制。目前该处理器已实现了量产,实际硬件测试验证了S698P4 SoC芯片存储器控制器的高效性能。  相似文献   

19.
设计了一种适用于多核SoC系统的低功耗上电复位电路,并根据冷复位、暖复位、看门狗复位、软件复位等复位源的类型设计了一种多核SoC系统的复位管理电路。复位管理电路提供了多核SoC系统处理器、片上总线及片上各个 IP模块的复位信号,并都进行了相应的异步复位、同步释放处理。结果表明,设计的多核 SoC系统的复位管理电路能够正确控制SoC芯片复位及复位释放后的多核SoC系统正常运行。  相似文献   

20.
针对嵌入式系统芯片SoC开发验证阶段的需求,介绍了一种通用的SoC软硬件协同仿真平台。软件仿真由C/C++和汇编语言编写,硬件仿真基于VMM验证方法学所搭建,SoC设计代码由RTL代码编写而成。将SoC设计代码中的ARM由DSM模型替代,通过VCS编译器将软硬件协同起来进行信息交互,实现一种速度快、真实性高、调试方便的...  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号