首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Antimonene, a new semiconductor with fundamental bandgap and desirable stability, has been experimentally realized recently. However, epitaxial growth of wafer‐scale single‐crystalline monolayer antimonene preserving its buckled configuration remains a daunting challenge. Here, Cu(111) and Cu(110) are chosen as the substrates to fabricate high‐quality, single‐crystalline antimonene via molecular beam epitaxy (MBE). Surface alloys form spontaneously after the deposition and postannealing of Sb on two substrates that show threefold and twofold symmetry with different lattice constants. Increasing the coverage leads to the epitaxial growth of two atomic types of antimonene, both exhibiting a hexagonal lattice but with significant difference in lattice constants, which are observed by scanning tunneling microscopy. Scanning tunneling spectroscopy measurements reveal the strain‐induced tunable bandgap, in agreement with the first‐principles calculations. The results show that epitaxial growth of antimonene on different substrates allow the electronic properties of these films to be tuned by substrate‐induced strain and stress.  相似文献   

2.
The growth of single‐crystal III‐nitride films with a low stress and dislocation density is crucial for the semiconductor industry. In particular, AlN‐derived deep‐ultraviolet light‐emitting diodes (DUV‐LEDs) have important applications in microelectronic technologies and environmental sciences but are still limited by large lattice and thermal mismatches between the epilayer and substrate. Here, the quasi‐van der Waals epitaxial (QvdWE) growth of high‐quality AlN films on graphene/sapphire substrates is reported and their application in high‐performance DUV‐LEDs is demonstrated. Guided by density functional theory calculations, it is found that pyrrolic nitrogen in graphene introduced by a plasma treatment greatly facilitates the AlN nucleation and enables fast growth of a mirror‐smooth single‐crystal film in a very short time of ≈0.5 h (≈50% decrease compared with the conventional process), thus leading to a largely reduced cost. Additionally, graphene effectively releases the biaxial stress (0.11 GPa) and reduces the dislocation density in the epilayer. The as‐fabricated DUV‐LED shows a low turn‐on voltage, good reliability, and high output power. This study may provide a revolutionary technology for the epitaxial growth of AlN films and provide opportunities for scalable applications of graphene films.  相似文献   

3.
Rectangle‐ and triangle‐shaped microscale graphene films are grown on epitaxial Co films deposited on single‐crystal MgO substrates with (001) and (111) planes, respectively. A thin film of Co or Ni metal is epitaxially deposited on a MgO substrate by sputtering while heating the substrate. Thermal decomposition of polystyrene over this epitaxial metal film in vacuum gives rectangular or triangular pit structures whose orientation and shape are strongly dependent on the crystallographic orientation of the MgO substrate. Raman mapping measurements indicate preferential formation of few‐layer graphene films inside these pits. The rectangular graphene films are transferred onto a SiO2/Si substrate while maintaining the original shape and field‐effect transistors are fabricated using the transferred films. These findings on the formation of rectangular/triangular graphene give new insights on the formation mechanism of graphene and can be applied for more advanced/controlled graphene growth.  相似文献   

4.
The chemical potential, heat capacity, and magnetic susceptibility of epitaxial graphene formed on a semiconductor substrate have been studied in the framework of the Davydov model. The limiting cases of high and low temperatures are considered.  相似文献   

5.
The diagonal component of the electron heat conductivity tensor of epitaxial graphene formed in a semiconductor has been investigated within a simple analytical model. It is shown that the heat conductivity sharply changes at a chemical potential close to the substrate band gap edge. Low-temperature expressions for the heat conductivity are derived.  相似文献   

6.
Gao H  Liu Z  Song L  Guo W  Gao W  Ci L  Rao A  Quan W  Vajtai R  Ajayan PM 《Nanotechnology》2012,23(27):275605
Doping is a common and effective approach to tailor semiconductor properties. Here, we demonstrate the growth of large-area sulfur (S)-doped graphene sheets on copper substrate via the chemical vapor deposition technique by using liquid organics (hexane in the presence of S) as the precursor. We found that S could be doped into graphene's lattice and mainly formed linear nanodomains, which was proved by elemental analysis, high resolution transmission microscopy and Raman spectra. Measurements on S-doped graphene field-effect transistors (G-FETs) revealed that S-doped graphene exhibited lower conductivity and distinctive p-type semiconductor properties compared with those of pristine graphene. Our approach has produced a new member in the family of graphene based materials and is promising for producing graphene based devices for multiple applications.  相似文献   

7.
The formation of ordered arrays of molecules via self‐assembly is a rapid, scalable route towards the realization of nanoscale architectures with tailored properties. In recent years, graphene has emerged as an appealing substrate for molecular self‐assembly in two dimensions. Here, the first five years of progress in supramolecular organization on graphene are reviewed. The self‐assembly process can vary depending on the type of graphene employed: epitaxial graphene, grown in situ on a metal surface, and non‐epitaxial graphene, transferred onto an arbitrary substrate, can have different effects on the final structure. On epitaxial graphene, the process is sensitive to the interaction between the graphene and the substrate on which it is grown. In the case of graphene that strongly interacts with its substrate, such as graphene/Ru(0001), the inhomogeneous adsorption landscape of the graphene moiré superlattice provides a unique opportunity for guiding molecular organization, since molecules experience spatially constrained diffusion and adsorption. On weaker‐interacting epitaxial graphene films, and on non‐epitaxial graphene transferred onto a host substrate, self‐assembly leads to films similar to those obtained on graphite surfaces. The efficacy of a graphene layer for facilitating planar adsorption of aromatic molecules has been repeatedly demonstrated, indicating that it can be used to direct molecular adsorption, and therefore carrier transport, in a certain orientation, and suggesting that the use of transferred graphene may allow for predictible molecular self‐assembly on a wide range of surfaces.  相似文献   

8.
The atomic structure of free‐standing graphene comprises flat hexagonal rings with a 2.5 Å period, which is conventionally considered the only atomic period and determines the unique properties of graphene. Here, an unexpected highly ordered orthorhombic structure of graphene is directly observed with a lattice constant of ≈5 Å, spontaneously formed on various substrates. First‐principles computations show that this unconventional structure can be attributed to the dipole between the graphene surface and substrates, which produces an interfacial electric field and induces atomic rearrangement on the graphene surface. Further, the formation of the orthorhombic structure can be controlled by an artificially generated interfacial electric field. Importantly, the 5 Å crystal can be manipulated and transformed in a continuous and reversible manner. Notably, the orthorhombic lattice can control the epitaxial self‐assembly of amyloids. The findings reveal new insights about the atomic structure of graphene, and open up new avenues to manipulate graphene lattices.  相似文献   

9.
Surface functionalization of epitaxial graphene overlayers on 6H-SiC(0001) has been attempted through thermal reactions in NH3. X-ray photoelectron spectroscopy and micro-region low energy electron diffraction results show that a significant amount of N is present at the NH3-treated graphene surface, which results in strong band bending at the SiC surface as well as decoupling of the graphene overlayers from the substrate. The majority of the surface N species can be removed by annealing in vacuum up to 850 °C, weakening the surface band bending and resuming the strong coupling of graphene with the SiC surface. The desorbed N atoms can be attributed to the intercalated species between graphene and SiC. Low temperature scanning tunneling spectroscopy and density functional theory simulations confirm the presence of N dopants in the graphene lattice, which are in the form of graphitic substitution and can be stable above 850 °C. This is the first report of simultaneous N intercalation and N doping of epitaxial graphene overlayers on SiC, and it may be employed to alter the surface physical and chemical properties of epitaxial graphene overlayers.   相似文献   

10.
运用原子位形几率波理论对合金中出现的长程有序结构进行了研究。考虑到合金外延生长的特点及合金中相互作用能情况,采用了二维平面模型,并在此基础上提出了平面会构的方法,从而建立起合金外延生长的理论模型,得到了三元Ⅲ一V半导体合金中所有可能出现的长程有序结构,较好地说明了实验中出现的长程有序现象。  相似文献   

11.
The electronic properties of graphene can be efficiently altered upon interaction with the underlying substrate resulting in a dramatic change of charge carrier behavior. Here, the evolution of the local electronic properties of epitaxial graphene on a metal upon the controlled formation of multilayers, which are produced by intercalation of atomic carbon in graphene/Ir(111), is investigated. Using scanning tunneling microscopy and Landau‐level spectroscopy, it is shown that for a monolayer and bilayers with small‐angle rotations, Landau levels are fully suppressed, indicating that the metal–graphene interaction is largely confined to the first graphene layer. Bilayers with large twist angles as well as twisted trilayers demonstrate a sequence of pronounced Landau levels characteristic for a free‐standing graphene monolayer pointing toward an effective decoupling of the top layer from the metal substrate. These findings give evidence for the controlled preparation of epitaxial graphene multilayers with a different degree of decoupling, which represent an ideal platform for future electronic and spintronic applications.  相似文献   

12.
The magnetoresistance of a heterostructure comprising parallel-connected epitaxial graphene on a metal substrate and graphene on a dielectric substrate has been studied in the framework of the Drude theory. The phenomenon of colossal magnetoresistance in this system is predicted on the basis of previous results for the conductivity of epitaxial graphene.  相似文献   

13.
Wang QH  Hersam MC 《Nano letters》2011,11(2):589-593
Nanoscale control of surface chemistry holds promise for tailoring the electronic, optical, and chemical properties of graphene. Toward this end, the nanofabrication of sub-5-nm heteromolecular organic nanostructures is demonstrated on epitaxial graphene using room temperature ultrahigh vacuum scanning tunneling microscopy. In particular, monolayers of the organic semiconductor 3,4,9,10-perylene-tetracarboxylic dianhydride (PTCDA) are nanopatterned on epitaxial graphene using feedback-controlled lithography (FCL) and then used as chemical resists to template the deposition of N,N'-dioctyl-3,4,9,10-perylene-tetracarboxylic diimide (PTCDI-C8). The generality of this FCL-based nanofabrication procedure suggests its applicability to a wide range of fundamental studies and prototype device fabrication on chemically functionalized graphene.  相似文献   

14.
The high carrier mobility of graphene is key to its applications, and understanding the factors that limit mobility is essential for future devices. Yet, despite significant progress, mobilities in excess of the 2×10(5) cm(2) V(-1) s(-1) demonstrated in free-standing graphene films have not been duplicated in conventional graphene devices fabricated on substrates. Understanding the origins of this degradation is perhaps the main challenge facing graphene device research. Experiments that probe carrier scattering in devices are often indirect, relying on the predictions of a specific model for scattering, such as random charged impurities in the substrate. Here, we describe model-independent, atomic-scale transport measurements that show that scattering at two key defects--surface steps and changes in layer thickness--seriously degrades transport in epitaxial graphene films on SiC. These measurements demonstrate the strong impact of atomic-scale substrate features on graphene performance.  相似文献   

15.
Phase field crystal (PFC) model is employed to simulate the process of growth of epitaxial layer on plane-convex substrate with a lattice mismatch and a small inclination angle. The variation of the systematic free energy, the total atomic number of the epitaxial layer, and the effect of the curvature and the angle of the substrate are analyzed. The results show that when the surface of the substrate is plane, the free energy increases with the increase of the substrate inclination angle, and also the total atomic number of the epitaxial layer increases; while the surface of the substrate is convex, the free energy decreases with the increase of substrate angle and so also the total atomic number of the epitaxial layer decrease. This is the reason that the frontier of surface of epitaxial layer changes from the step bunching to the hill-and-valley facet structure with the increasing of the inclination angle of convex substrate. These results are in good agreement with the other method results.  相似文献   

16.
Epitaxial graphene on ruthenium   总被引:18,自引:0,他引:18  
Graphene has been used to explore the fascinating electronic properties of ideal two-dimensional carbon, and shows great promise for quantum device architectures. The primary method for isolating graphene, micromechanical cleavage of graphite, is difficult to scale up for applications. Epitaxial growth is an attractive alternative, but achieving large graphene domains with uniform thickness remains a challenge, and substrate bonding may strongly affect the electronic properties of epitaxial graphene layers. Here, we show that epitaxy on Ru(0001) produces arrays of macroscopic single-crystalline graphene domains in a controlled, layer-by-layer fashion. Whereas the first graphene layer indeed interacts strongly with the metal substrate, the second layer is almost completely detached, shows weak electronic coupling to the metal, and hence retains the inherent electronic structure of graphene. Our findings demonstrate a route towards rational graphene synthesis on transition-metal templates for applications in electronics, sensing or catalysis.  相似文献   

17.
The initial stages of epitaxial graphene growth were studied by characterization of graphene formed in localized areas on C-face 6H-SiC substrates. The graphene areas were determined to lie below the level of the surrounding substrate and showed different morphologies based on size. Employing electron channeling contrast imaging, the presence of threading screw dislocations was indicated near the centers of each of these areas. After the graphene was removed, these dislocations were revealed to lie within the SiC substrate. These observations suggest that screw dislocations act as preferred nucleation sites for graphene growth on C-face SiC.  相似文献   

18.
We report an epitaxial growth of graphene, including homo- and hetero-epitaxy on graphite and SiC substrates, at a temperature as low as ∼540 °C. This vapour-phase epitaxial growth, carried out in a remote plasma-enhanced chemical vapor deposition (RPECVD) system using methane as the carbon source, can yield large-area high-quality graphene with the desired number of layers over the entire substrate surfaces following an AB-stacking layer-by-layer growth model. We also developed a facile transfer method to transfer a typical continuous one layer epitaxial graphene with second layer graphene islands on top of the first layer with the coverage of the second layer graphene islands being 20% (1.2 layer epitaxial graphene) from a SiC substrate onto SiO2 and measured the resistivity, carrier density and mobility. Our work provides a new strategy toward the growth of graphene and broadens its prospects of application in future electronics.   相似文献   

19.
We demonstrate the first successful growth of large-area (200 × 200 μm(2)) bilayer, Bernal stacked, epitaxial graphene (EG) on atomically flat, 4H-SiC (0001) step-free mesas (SFMs) . The use of SFMs for the growth of graphene resulted in the complete elimination of surface step-bunching typically found after EG growth on conventional nominally on-axis SiC (0001) substrates. As a result heights of EG surface features are reduced by at least a factor of 50 from the heights found on conventional substrates. Evaluation of the EG across the SFM using the Raman 2D mode indicates Bernal stacking with low and uniform compressive lattice strain of only 0.05%. The uniformity of this strain is significantly improved, which is about 13-fold decrease of strain found for EG grown on conventional nominally on-axis substrates. The magnitude of the strain approaches values for stress-free exfoliated graphene flakes. Hall transport measurements on large area bilayer samples taken as a function of temperature from 4.3 to 300 K revealed an n-type carrier mobility that increased from 1170 to 1730 cm(2) V(-1) s(-1), and a corresponding sheet carrier density that decreased from 5.0 × 10(12) cm(-2) to 3.26 × 10(12) cm(-2). The transport is believed to occur predominantly through the top EG layer with the bottom layer screening the top layer from the substrate. These results demonstrate that EG synthesized on large area, perfectly flat on-axis mesa surfaces can be used to produce Bernal-stacked bilayer EG having excellent uniformity and reduced strain and provides the perfect opportunity for significant advancement of epitaxial graphene electronics technology.  相似文献   

20.
High-quality epitaxial graphene on silicon carbide (SiC) is today available in wafer size. Similar to exfoliated graphene, its charge carriers are governed by the Dirac-Weyl Hamiltonian and it shows excellent mobilities. For many experiments with graphene, in particular for surface science, a bottom gate is desirable. Commonly, exfoliated graphene flakes are placed on an oxidized silicon wafer that readily provides a bottom gate. However, this cannot be applied to epitaxial graphene as the SiC provides the source material out of which graphene grows. Here, we present a reliable scheme for the fabrication of bottom-gated epitaxial graphene devices, which is based on nitrogen (N) implantation into a SiC wafer and subsequent graphene growth. We demonstrate working devices in a broad temperature range from 6 to 300 K. Two gating regimes can be addressed, which opens a wide engineering space for tailored devices by controlling the doping of the gate structure.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号