首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 93 毫秒
1.
基于DSP和DDS的高精度频率信号源实现   总被引:12,自引:0,他引:12  
介绍了一种基于DSP和DDS的高精度频率信号源的软硬件实现方案。利用DSP芯片TMS320VC5402控制DDS芯片AD9852,可以产生一个分辨率高、转换速度快、输出频谱纯的信号,且具有调幅、调相、线性及非线性调频功能。文中主要介绍了AD9852与DSP的硬件接口设计、整个系统的软件编程及设计中的一些注意事项。  相似文献   

2.
直接数字合成器(DDS)具有较高的频率分辨率,可以实现频率快速切换,并且在频率改变时能保持相位的连续,很容易实现频率、相位和幅度的数字调制。从DDS的原理出发,介绍了一种基于DSP Builder查找表结构的DDS设计,并通过QuartusⅡ完成对FPGA器件的配置下载过程。可编程逻辑器件具有器件规模大、工作速度快及可编程的硬件特点,非常适合用来实现DDS。  相似文献   

3.
采用直接数字频率合成技术(DDS),通过数字控制相位信号的增量在FPGA中实现频率可调的信号发生器,所产生的信号不仅幅度频率灵活可调,并具有频率分辨率高、切换速度快、相位噪声低等优点,因而该系统设计在相关的科研实践中具有重要意义。  相似文献   

4.
DDS信号源的FPGA实现   总被引:3,自引:0,他引:3  
采用直接数字频率合成技术(DDS),通过数字控制相位信号的增量在FPGA中实现频率可调的信号发生器,所产生的信号不仅幅度频率灵活可调,并具有频率分辨率高、切换速度快、相位噪声低等优点,因而该系统设计在相关的科研实践中具有重要意义.  相似文献   

5.
介绍一种基于DDS软件算法的精密低频三相数字信号源.信号源硬件是以C8051F005单片机为核心,通过软件编程模拟DDS专用器件的功能,并辅以DAC7512 D/A转换器产生幅值、频率可变,且相位差为120°的三相交流信号,最后采用功率放大器TDA7294提升信号源的输出功率和电压幅度.  相似文献   

6.
<正> 随着数字集成电路和微电子技术的发展,直接数字频率合成越来越受到人们的重视。这主要是它具有模拟频率合成器难以比拟的优点:频率转换快;合成的频率范围宽;相位具有连续性;信号纯度高;可方便地实现数字调制功能;具有任意波形输出能力等。DDS信号源基于先进的DDS频率合成技术,在通信、雷达等电子应用中,具有非常广泛的应用前景。  相似文献   

7.
采用直接数字频率合成技术,设计了一种采用ARM控制以AD9833为核心的信号源,由ARM对输入数据进行处理,进而执行对DDS芯片编程,控制产生所需的频率、相位和波形信号,并由LCD显示各种信息,最后详细分析了该信号发生器的系统结构、软硬件设计和具体实现电路。  相似文献   

8.
不断发展的DSP技术迅速地拓宽扩展到了各应用领域,但传统的DSP处理器由于以顺序方式工作而数据处理速度较低,且在功能重构及应用目标的修改方面缺乏灵活性。本文介绍一种崭新的基于Matlab与QuartusⅡ的DSP处理器的设计软件DSP Builder,详细介绍了其设计流程与优点,并以DDS直接数字合成器的实现为例说明用该软件来设计DSP处理器的方法以及与Matlab、QuartusI之间的关系。  相似文献   

9.
简单介绍直接数字频率合成(DDS)的结构和原理,以CPLD为核心产生幅度、频率皆精确可调的正交信号源,采用红外键盘使系统更易于控制.  相似文献   

10.
采用DDS技术的高性能雷达信号源   总被引:20,自引:3,他引:17  
钱朝晖 《现代雷达》2002,24(4):50-52,56
直接数字频率合成(DDS)是一种新的频率合成方法,具有频率分辨率高,切换速度快等优点,本文介绍了DDS原理和杂散性能,并从实际应用出发,设计出高性能的多种信号源。  相似文献   

11.
针对通信中的回波问题,基于自适应滤波的最小均方(LMS)算法,设计了一个自适应回波抵消器。基于FPGA芯片,在DSP Builder平台上,有效结合MATLAB/Simulink和Quartus Ⅱ设计工具,根据模块化设计思想实现了LMS算法自适应回波抵消器硬件电路设计。软件仿真和系统FPGA硬件实测结果表明,该设计方法使回波抵消器的FPGA硬件实现更加简便快捷。  相似文献   

12.
基于DSPBuilder的DDS设计与实现   总被引:1,自引:0,他引:1  
DDS技术应用广泛,设计和实现DDS的方法有多种,随着EDA技术和FPGA器件的发展,应用FPGA实现DDS具有灵活性好、价格较低、研制周期短等优点。DSP Builder是Altera公司的系统级DSP开发软件,应用DSP Builder设计DDS,可根据DDS原理实现模块化设计,使设计更为直观和简化,结合Matlab软件的设计与调试功能,使系统仿真更为简便。将设计下载到硬件中运行,测试结果表明,应用DSP Builder设计DDS方案切实可行,输出波形频率范围较宽,波形稳定度和分辨率较高。  相似文献   

13.
张园  华永平 《电子科技》2010,23(7):95-99
针对通信中的回波问题,基于自适应滤波的LMS算法,设计了自适应回波抵消器。并基于利用FPGA芯片,在DSP Builder平台上,有效结合MatLab/Simulink和Quartus II设计工具,根据模块化设计思想实现了LMS算法自适应回波抵消器硬件电路设计。软件仿真和系统FPGA硬件实测结果表明,该设计方法使回波抵消器的FPGA硬件实现更加简便快捷。  相似文献   

14.
根据2FSK调制解调器的基本理论,采用DDS(直接数字合成)技术在不同频率信号的切换时能保持相位连续的优点来设计2FSK调制模型,采用自延迟解调法设计了2FSK的解调模型。然后利用Simulink下的DSP Builder工具箱搭建了这两个模型,并在Matlab/Simulink下对此模型进行了仿真,验证了模型的正确性。此设计可以简化2FSK调制解调器系统的硬件电路,提高系统的可靠性与灵活性,而且成本低、修改方便,对硬件理论知识要求不高,实现起来容易。  相似文献   

15.
针对重离子加速器(HIRFL)的低电平相幅稳定系统,设计了以同步置位直接数字频率合成器(DDS)技术为基础的同步相位信号源作为系统的不同频、严相位的基准信号源。以FPGA芯片为核心,采用VHDL语言设计各功能模块,简化了设计过程,便于升级。经过电路设计、模块仿真和现场测试,验证了设计的正确性。测试结果表明:该系统具有可靠性高、精度高、稳定度高、频率范围宽、便于控制等优点。  相似文献   

16.
基于DSP Builder的FIR滤波器的设计与实现   总被引:4,自引:0,他引:4  
现场可编程门阵列(FPGA)器件以其灵活的可配置特性,可以很好地解决并行性和速度问题而广泛应用于数字信号领域,但使用VHDL或VerilogHDL语言进行设计的难度较大.提出了一种采用DSP Builder实现有限冲激响应滤波器的设计方案,并以一个16阶低通FIR数字滤波器的实现为例,设计并完成软硬件仿真与验证.结果表明,该方法简单易行,能满足设计要求.  相似文献   

17.
针对脑电信号非平稳性特点,利用小波变换对采集到的脑电信号进行滤波处理。然而小波变换巨大的计算量限制其在高速实时信号处理领域的应用,FPGA器件兼具并/串行工作方式,具有较高的并行计算能力,在现场数字信号处理领域具有较强的实时性。提出基于FPGA的小波变换系统设计方法,首先利用DB2小波对脑电信号按Mallat算法进行分解,然后采用小波重构算法去噪。试验结果表明,运用小波分解重构算法,可以对脑电信号进行实时滤波。  相似文献   

18.
张洋 《电子测试》2020,(5):16-18
正弦信号发生器作为最基本的电子设备,广泛应用于航空航天控制、通信、电子测量、研究等等。本文介绍了基于FPGA技术,根据正弦信号移相原理,利用matlab/simlink/DSP Builder搭建移相正弦信号模型,采用直接数字频率合成技术(DDS),设计实现了一个频率、相位可控的正弦信号发生器。采用此方法设计的数控移相正弦信号发生器能够产生频率、相位均可数字式预置并可调节的正弦波信号,该数字移相信号发生器的频率、相位、幅度均可预置,分辨率高,精确可调,且可分别用作两路独立的信号发生器使用。采用这种方法设计可控移相信号发生器方便快捷,提高了开发效率,缩短研发周期,而且系统的调试方便,容易修改。  相似文献   

19.
为了实现可调频信号源的设计,提出了一种基于CORDIC算法的DDS调频信号源的设计方案,本中首先介绍了DDS的工作原理,同时对基于CORDIC算法的相幅变换方法进行了详细叙述,利用计算正弦值的CORDIC算法在FPGA上设计数字调频波信号源,可输出高精度数字调频信号,最后对整体模块进行了仿真和实验,输出中心频率23.5 MHz,带宽1.5 MHz的调频信号,验证了文中提出的方案可行,并且结构简单易于实现.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号