首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
万承兴 《电子技术》1993,20(6):20-21
一、检测系统的硬件部分与工作原理系统由光电检测装置、采样计数电路和单片机电路等组成。框图见图1。 (一)光电CCD传感器及驱动板我们采用TCD102D光电传感器作为检测元件,动板上经过缓冲器输出CCD的光电信号、计数脉冲SP、转换脉冲SH等信号.电路框图如图3所示。 (二)光电检测装置该器件是CMOS电路,22脚玻壳封装,有效光电元素2048个,每个像素的尺寸为14μm×14μm,转换时间为10ms,计数脉冲SP的频率为1MHz,其时序关系见图2。根据 TCD102D的要求,配置了一块驱动电路板,使CCD的曝光周期为10ms,大于数据时间,并在驱  相似文献   

2.
一种基于单片机的新型线阵CCD电路   总被引:3,自引:0,他引:3  
CCD(ChargeCoupleDevice),即电荷耦合器件,是一种新型的一维图像信息的转换和探测器件,它通过光电转换可以将位置、角度、尺寸等信息转化成电荷信号,交给微处理器存储、处理,从而完成测量工作。由于CCD像元尺寸微小(7~4μm),利用光信号测量,所以CCD器件具有体积小、功耗小、高分辨率、非接触测量等优点,在许多特殊应用场合有着优越性,如高温物体尺寸测量、微小尺寸测量、高频振动测量等。几种传统CCD驱动时序的产生方法不同生产厂家、不同型号的CCD的驱动时序是不同的,加之在不同应用场合、对体积、成本、性能的要求不同,也就有了以…  相似文献   

3.
通过对棉种图像精选方案与算法研究,给出一种有别于当前棉种色选的双CCD检测色选法。本方法实现过程是利用线阵彩色CCD和黑白CCD分别作为颜色分选和破损色选检测器件,结合FPGA设计出可再编程的CCD驱动电路,进而对物料实现有效信号采集处理,完成双CCD检测色选判别。实验结果表明:双CCD能够正常地工作,能够满足脱绒棉种色选机要求,光电检测系统能够稳定正常的工作。  相似文献   

4.
基于FPGA的线阵CCD驱动设计   总被引:1,自引:1,他引:0  
崔岩  吴国兴  殷美琳  陆惠  顾媛媛 《现代电子技术》2011,34(10):206-207,210
电荷耦合器件(CCD)作为一种新型的光电器件,被广泛地应用于非接触测量。而CCD驱动设计是CCD应用的关键问题之一。为了克服早期CCD驱动电路体积大,设计周期长,调试困难等缺点,以线阵CCD图像传感器TCD1251UD为例,介绍一种利用可编程逻辑器件FPGA实现积分时间和频率同时可调的线阵CCD驱动方法,使用Verilog语言对驱动电路方案进行了硬件描述,采用QuartusⅡ对所设计的时序进行系统仿真。仿真结果表明,该驱动时序的设计方法是可行的。  相似文献   

5.
梳状体二值化数据采集方法的研究   总被引:3,自引:0,他引:3  
介绍了一种能够有效地检测梳状物体尺寸和形状的方法.该方法采用线阵CCD作光电传感器,CCD输出信号经二值化处理后采用静态存储器进行高速存储,完成数据采集的功能,且可通过数字电位器用计算机软件提供二值化阈值信号.通过公式可以快速地计算出梳状体的齿宽和齿间距.该方法可准确、实时地对生产线上匀速运动的物体进行高速度、高精度的尺寸检测.  相似文献   

6.
电荷耦合器件(CCD)是20世纪70年代初发展起来的新型半导体集成光电器件。近年来,CCD器件在图像传感和非接触测量领域的发展迅速。CCD芯片的转换效率、信噪比等光电转换特性只有在合适的时序驱动下,才能达到器件工艺设计所规定的最优值,输出稳定可靠的视频信号。因此,产生严格的CCD驱动时序,是成功设计CCD测量系统的先决条件。  相似文献   

7.
采用SONY行间转移型面阵CCD ICX415AL作为传感器件,设计了一种新型的CCD成像系统.成像系统采用CCD信号专用芯片CXA1310AQ进行信号处理,使输出信号满足模拟信号PAL/CCIR标准,可以采用电视机或者配有视频卡的计算机作为显示终端.针对 ICX415AL的结构和特点,设计了系统的时序电路和驱动电路.CCD工作模式为场输出模式,可以理解为垂直方向的Binning技术.采用相关双采样(CDS)技术滤除了视频信号中的相关噪声,提高了系统的信噪比.整个系统采用现场可编程门阵列作为核心器件,通过自上而下的模块设计完成了CCD驱动时序、数据采集时序控制和视频信号简单处理.  相似文献   

8.
某电路为某图像搜索所用的CCD图像传感器(Sony ICX409AL)提供驱动和控制。该CCD是一种高性能的成像器件,其工作需要多路不同且有严格相位关系的时钟脉冲来驱动和控制,使CCD实现光信号积分、沿指定通道转移的并串变换和信号电荷的转换输出。本文就这种具有搜索、多档可调功能的CCD驱动电路设计与实现进行阐述。  相似文献   

9.
为实现对光电编码器精码数据进行采集与处理,为精码信号图形细分提供数据依据,设计了以逻辑器件FPGA为核心的精码数据采集传输系统。首先设计了精码信号模数转换电路,其次设计了基于USB2.0通信协议的数据传输电路,最后完成了USB芯片固件程序、FPGA控制程序、上位机应用程序的开发。实验结果表明,该数据采集传输系统能够实现对光电编码器精码信号12位分辨力的数据采集与显示、能够实现将采集的数据快速准确上传至PC机中存储、显示及后续的图形细分处理。该数据采集传输系统可应用于光电编码器的生产和研制过程中,为高精度、高分辨力、小型化光电编码器的设计和生产提供数据依据,具有便携、易操作、直观等特点。  相似文献   

10.
CCD驱动电路的设计是实现CCD各种设计功能的关键性因素,只有对其驱动信号设计的严格把关,才会进一步保证CCD器件后续工作的开展。分析线阵CCD器件TCD1703C的驱动时序要求,采用QuartusⅡ软件,选用Verilog HDL语言设计了各路驱动时序信号。将程序设计下载到FPGA器件中,通过逻辑分析仪对输出信号进行了波形监测,验证了线阵CCD的驱动时序设计的可行性。将产生的驱动时序信号接入CCD器件,不同光照入射的条件下,CCD在驱动信号的驱动下,正常工作并输出了相应的视频信号。  相似文献   

11.
针对线阵CCD器件的驱动和信号处理,使用了2片STC11F02单片机,一片产生CCD驱动时序信号,另一片负责测量控制及与上位机进行通信。采用硬件的方法对CCD输出信号进行处理,直接得到光斑中心位置,不需要进行A/D转换。试验结果说明测量精度可达0.007 mm。该电路成本低,体积小,速度快,可广泛应用于基于线阵CCD的非接触式几何量测量。  相似文献   

12.
通过分析电荷耦合器件(CCD)图像传感器光电转换、电荷转移、电荷输出的工作原理,提出了一种通用高效的电荷转换因子(CVF)测试方法。该方法采用在CCD感光区域施加直流偏压,水平区施加连续转移的驱动时序的方式,使CCD光敏区以电荷溢出方式往水平区转移电荷,水平区以固定频率不间断转移输出电荷包,从而让CCD输出强度恒定的响应信号;然后通过复位漏电流与输出信号强度的对应关系计算出CCD器件的CVF值。根据该方法的原理设计了一种适应各种CCD器件的通用测试装置,并对多款CCD进行测试验证。结果表明,该方法有效提高了CCD电荷转换因子的测试效率、测试精度和稳定性。  相似文献   

13.
给出了一种应用CPLD设计的可自动调整增益的CCD驱动电路。该电路根据CCD输出的模拟电压值与其曝光时间有关这一原理,来对CCD输出的模拟电压值进行A/D转换,然后由CPLD得到电压峰值并判断其大小,进而调节CCD驱动电路的频率,以改变CCD的曝光时间,从而使输出信号保持在一定的范围之内。[编者按]  相似文献   

14.
以ARM7内核微控制器LPC2148为核心,搭配CCD信号处理器AD9826,设计了一个线阵CCD信号采集系统.系统各部分的驱动时序都由LPC2148产生,采集到的数据通过USB向PC机传输,在PC机上的数据接收软件以坐标图的方式显示出CCD光敏面上各个像元上光强的大小.系统能够对CCD以及电路外围器件进行驱动和控制,同时也能采集到CCD输出信号,实现了CCD信号采集电路进一步的小型化和结构的简化,降低了成本.  相似文献   

15.
金涛  罗斌 《现代电子技术》2011,34(18):142-145,152
提出一种以电荷耦合器件(CCD)TCD1703C为感光元件的光电测量信号高速传输与控制设计方案。CPLD(EPM240)作为整个传输系统的控制核心,主要完成线阵CCD驱动时序的产生、高速A/D芯片(THS12082)的初始化与采样控制以及FIF0(SN74V273)缓存数据的复位配置;并通过USB2.0(CY7C68016A)接口的GPIF接口模式完成控制信号的发送以及实现采集系统与计算机之间的数据高速传输。描述了CPLD的逻辑控制软件设计流程及USB固件、软件设计方案及思想,通过多次软件和实验室测试仿真表明,该设计已满足多种光电测量的硬件数据采集卡要求。  相似文献   

16.
CCD固态摄象器件以家用摄象机及磁带录象机(VTR)为中心的市场迅速扩大.CCD固态摄象器件由两部分组成;(1)光电转换部(光电二极管),也就是把光信号转换成电信号的部分.(2)传输部(CCD),即把信号输送到外部的部件.CCD结构方式可分为行间线型传输式和帧场转换传输  相似文献   

17.
穆磊  姜德生  戴珩 《现代电子技术》2007,30(22):138-139
CCD作为一种新型的光电器件,被广泛地应用于非接触测量,而在CCD工作过程中,其驱动电路的设计成为其应用的关键技术之一。介绍了一种利用VHDL(硬件描述语言)编写线阵CCD驱动程序的实现方法,对TCD1501D型号的CCD工作时序做了分析。详细介绍用VHDL完成TCD1501D驱动时序的源代码,最后用Max PlusⅡ开发软件进行仿真验证,测量和仿真结果表明,该方法结构简单、系统简化,具有可行性。  相似文献   

18.
电子倍增CCD驱动电路设计   总被引:1,自引:1,他引:1  
提供了一种针对电子倍增CCD(EMCCD)驱动电路的设计方案。通过FPGA编程产生符合EMCCD时序要求的信号波形,采用EL7457高速MOSFET驱动芯片对FPGA输出信号进行电平转换以满足EMCCD驱动电压要求,并由分立的推挽放大电路驱动高电压信号,输出电压20~50 V可调,像素读出频率达5 MHz。实验结果表明,该驱动电路能够使EMCCD正常工作输出有效信号。  相似文献   

19.
张雄星  王超  陈超  刘创 《现代电子技术》2010,33(24):189-190
光电隔离是数据采集和控制系统抗干扰的一项重要措施,由于光电耦合器件的非线性,对模拟量的光电隔离会带来较大信号失真。为了提高光电隔离电路的线性度,采用负反馈方法把光耦器件的输出电流反馈输入端。进行光电隔离电路的静态特性试验。试验结果表明:当输入信号在0~5 V时,光电隔离电路的输出失真最大为27 mV,线性度为0.014%。  相似文献   

20.
介绍了一种基于ISP技术的线阵CCD的驱动电路及设计方法。并介绍了一种主动控制CCD光积分时间与信号转移的技术。ISP技术的应用极大地简化了CCD的驱动电路。并且,电路可以完全由程序或由外部触发信号主动地控制CCD光积分时间、曝光时间与信号转移的周期,使对CCD光积分时间的控制更加灵活。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号