首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Achieving sub‐10 nm high‐aspect‐ratio patterns from diblock copolymer self‐assembly requires both a high interaction parameter (χ, which is determined by the incompatibility between the two blocks) and a perpendicular orientation of microdomains. However, these two conditions are extremely difficult to achieve simultaneously because the blocks in a high‐χ copolymer typically have very different surface energies, favoring in‐plane microdomain orientations. A fully perpendicular orientation of a high‐χ block copolymer, poly(styrene‐block‐dimethylsiloxane) (PS‐b‐PDMS) is realized here using partially hydrolyzed polyvinyl alcohol (PVA) top coats with a solvent annealing process, despite the large surface energy differences between PS and PDMS. The PVA top coat on the block copolymer films under a solvent vapor atmosphere significantly reduces the interfacial energy difference between two blocks at the top surface and provides sufficient solvent concentration gradient in the through‐thickness direction and appropriate solvent evaporation rates within the film to promote a perpendicular microdomain orientation. The effects of interfacial energy differences and the swellability of PVA top coats controlled by the degree of hydrolysis on the orientation of micro­domains are examined. The thickness of the BCP film and top coats also affects the orientation of the BCP film.  相似文献   

2.
Block copolymer lithography exploiting diblock copolymer thin films is promising for scalable manufacture of device‐oriented nanostructures. Nonetheless, its intrinsic limitation in the degree of freedom for pattern symmetry within hexagonal dot or parallel line array greatly diminishes the potential application fields. Here, we report multi‐level hierarchical self‐assembled nanopatterning of diblock copolymers for modified pattern symmetry. Sequential hierarchical integration of two layers of diblock copolymer films with judiciously chosen molecular weights and chemical composition creates nanopatterned morphology with modified pattern symmetry, including sparse linear cylinder or lamellar arrays. Internal structure of the hierarchically patterned morphology is characterized by grazing‐incidence small‐angle X‐ray scattering throughout the film thickness. Pattern transfer of the modified nanopattern generates linear metal nanodot array with uniform size and regular spacing as a typical example of functional nanopatterned structures.  相似文献   

3.
Directed self‐assembly (DSA) using soft materials is an important method for producing periodic nanostructures because it is a simple, cost‐effective process for fabricating high‐resolution patterns. Most of the previously reported DSA methods exploit the self‐assembly of block copolymers, which generates a wide range of nanostructures. In this study, cylinders obtained from supramolecular dendrimer films with a high resolution (<5 nm) exhibit planar ordering over a macroscopic area via guiding topographical templates with a high aspect ratio (>10) and high spatial resolution (≈20 nm) of guiding line patterns. Theoretical and experimental studies reveal that this property is related to geometrical anchoring on the meniscus region and physical surface anchoring on the sidewall. Furthermore, this DSA of dendrimer cylinders is demonstrated by the non‐regular geometry of the patterned template. The macroscopic planar alignment of the dendrimer nanostructure reveals an extremely small feature size (≈4.7 nm) on the wafer scale (>16 cm2). This study is expected to open avenues for the production of a large family of supramolecular dendrimers with different phases and feature dimensions oriented by the DSA approach.  相似文献   

4.
The precisely controllable self‐assembly phenomenon of block copolymers (BCPs) has garnered much attention because it yields well‐defined periodic nanostructures with a periodicity of 5–50 nm. However, from both thermodynamic and kinetic viewpoints, it still remains a challenge to develop a BCP material that can provide sub‐10 nm resolution, high pattern quality, fast pattern formation, and sufficient etch selectivity. To address these challenges, this study reports a BCP system containing a random‐copolymerized block (poly(2‐vinylpyridine‐co‐4‐vinylpyridne)‐b‐poly(dimethylsiloxane) (P(2VP‐co‐4VP)‐b‐PDMS)) that can provide sub‐6 nm resolution, 3σ line edge roughness of 0.89 nm, sub‐1‐min assembly time, and a high etch selectivity over 10. Calculation of the Flory–Huggins interaction parameter (χ) based on Leibler's mean‐field theory and small‐angle X‐ray scattering measurement data confirms the gradual tunability of χ with the controlled addition of 4VP fraction in the P(2VP‐co‐4VP) block. While guaranteeing kinetically fast self‐assembly within one minute using microwave annealing, the best pattern quality resulting from the thermodynamic suppression of line edge fluctuation is achieved with a 4VP weight fraction of 33% in the random‐copolymerized block. This approach enables systematical control of sub‐6 nm scale BCP self‐assembly and will provide a practical patterning solution for diverse nanostructures and devices.  相似文献   

5.
Robust lithographic templates, with sub‐50 nm feature and spatial resolutions, that exhibit high patterning integrity across a full‐wafer are demonstrated using self‐organized copolymer reverse micelles on 100 mm Si wafers. A variation of less than 5% in the feature size and periodicity of polymeric templates across the entire wafer is achieved simply by controlling the spin‐coating process. Lithographic pattern transfer using these templates yields Si nanopillar arrays spanning the entire wafer surface and exhibiting high uniformity inherited from the original templates. The variation in geometric characteristics of the pillar arrays across the full‐wafer surface is validated to be less than 5% using reflectance spectroscopy. The physical basis of the change in reflectance with respect to sub‐10 nm variations in geometric parameters of pillar arrays is shown by theoretical modelling and simulations. Successful fabrication of highly durable TiO2 masks for nanolithography with sub‐50 nm feature width and spatial resolutions is achieved through highly controlled vapour phase processing of reverse micelle templates. This allows lithographic pattern‐transfer of organic templates with a feature thickness and separation of less than 10 nm, which is otherwise not possible through other approaches reported in literature.  相似文献   

6.
Block co‐polymer patterns are attractive candidates for nanoparticle assemblies. Directed self‐assembly of block co‐polymers in particular allows for long range ordering of the patterns, making them interesting scaffolds for the organization of magnetic particles. Here, a method to tune the channel width of polymer‐derived trenches via atomic layer deposition (ALD) of alumina is reported. The alumnia coating provides a much more thermally robust pattern that is stable up to 250 °C. Using these patterns, magnetic coupling in both ferromagnetic and superparamagnetic nanocrystal chains is achieved.  相似文献   

7.
A novel step‐wise approach for fabrication of periodic arrays of two different types of nanoparticles (NPs), selectively localized at different block copolymer phases is demonstrated. In the first step, pre‐synthesized ≈12 nm silver nanoparticles (AgNPs), stabilized with thiol‐terminated polystyrene, are mixed with poly(styrene‐block‐vinylpyridine) (PS‐b‐PVP) block copolymer in a common solvent. After film casting and consequent solvent vapor annealing the AgNPs are selectively localized within the PS phase of the block copolymer matrix due to the interaction with PS shell of the nanoparticles. In the second step, ≈2–5 nm gold, platinum, or palladium nanoparticles are directly deposited from their aqueous dispersion on the PVP domains of the self‐assembled block copolymer thin films. In such a way, thin films of nanostructured block copolymer with two types of nanoparticles, separated by the two distinct block copolymer phases, are prepared in a step‐wise manner. The presented method is very simple and can be applied for various combinations of pre‐synthesized nanoparticles where the characteristics of either type of nanoparticles are tuned accordingly in advance, which is more difficult to achieve for in situ synthesized nanoparticles.  相似文献   

8.
Thin films of block copolymers are extremely attractive for nanofabrication because of their ability to form uniform and periodic nanoscale structures by microphase separation. One shortcoming of this approach is that to date the design of a desired equilibrium structure requires synthesis of a block copolymer de novo within the corresponding volume ratio of the blocks. In this work, solvent vapor annealing in supported thin films of poly(2‐hydroxyethyl methacrylate)‐block‐poly(methyl methacrylate) [PHEMA‐b‐PMMA] by means of grazing incidence small angle X‐ray scattering (GISAXS) is investigated. A spin‐coated thin film of a lamellar block copolymer is solvent vapor annealed to induce microphase separation and improve the long‐range order of the self‐assembled pattern. Annealing in a mixture of solvent vapors using a controlled volume ratio of solvents, which are chosen to be preferential for each block, enables selective formation of ordered lamellae, gyroid, hexagonal, or spherical morphologies from a single‐block copolymer with a fixed volume fraction. The selected microstructure is then kinetically trapped in the dry film by rapid drying. This paper describes what is thought to be the first reported case where in situ methods are used to study the transition of block copolymer films from one initial disordered morphology to four different ordered morphologies, covering much of the theoretical diblock copolymer phase diagram.  相似文献   

9.
Polyhedral oligomeric silsequioxane (POSS) derivatives have been successfully employed as substrates for graphoepitaxial directed self‐assembly (DSA) of block copolymers (BCPs). Tailored POSS materials of tuned surface chemistry are subject to nanoimprint lithography (NIL) resulting in topographically patterned substrates with dimensions commensurate with the BCP block length. A cylinder forming polystyrene‐block‐polydimethylsiloxane (PS‐b‐PDMS) BCP is synthesized by sequential living anionic polymerization of styrene and hexamethylcyclotrisiloxane. The patterned POSS materials provide a surface chemistry and topography for DSA of this BCP and after solvent annealing the BCP shows well‐ordered microphase segregation. The orientation of the PDMS cylinders to the substrate plane could be controlled within the trench walls by the choice of the POSS materials. The BCP patterns are successfully used as on‐chip etch mask to transfer the pattern to underlying silicon substrate. This soft graphoepitaxy method shows highly promising results as a means to generate lithographic quality patterns by nonconventional methods and could be applied to both hard and soft substrates. The methodology might have application in several fields including device and interconnect fabrication, nanoimprint lithography stamp production, nanofluidic devices, lab‐on‐chip, or in other technologies requiring simple nanodimensional patterns.  相似文献   

10.
11.
12.
Non‐regular, device‐oriented structures can be directed to assemble on chemically nanopatterned surfaces such that the density of features in the assembled pattern is multiplied by a factor of two or more compared to the chemical pattern. By blending the block copolymers with homopolymers and designing the chemical pattern rationally, complicated structures such as bends, jogs, junctions, terminations, and combined structures are fabricated. Previously, directed assembly of block copolymers has been shown to enhance the resolution of lithographic processes for hexagonal arrays of spots and parallel lines, corresponding to the bulk morphologies of block copolymer systems, but this is the first demonstration of enhanced resolution for more complicated, device‐oriented features. This fundamental knowledge broadens the range of technologies that can be served by the directed assembly of block copolymers.  相似文献   

13.
Shear thinning hydrogels are promising materials that exhibit rapid self‐healing following the cessation of shear, making them attractive for applications including injectable biomaterials. Here, self‐assembly is demonstrated as a strategy to introduce a reinforcing network within shear thinning artificially engineered protein gels, enabling a responsive transition from an injectable state at low temperatures with a low yield stress to a stiffened state at physiological temperatures with resistance to shear thinning, higher toughness, and reduced erosion rates and creep compliance. Protein‐polymer triblock copolymers capable of the responsive self‐assembly of two orthogonal networks are synthesized. Midblock association forms a shear‐thinning network, while endblock aggregation at elevated temperatures introduces a second, independent physical network into the protein hydrogel. These reversible crosslinks introduce extremely long relaxation times and lead to a five‐fold increase in the elastic modulus, significantly larger than is expected from transient network theory. Thermoresponsive reinforcement reduces the high temperature creep compliance by over four orders of magnitude, decreases the erosion rate by at least a factor of five, and increases the yield stress by up to a factor of seven. Combined with the demonstrated potential of shear thinning artificial protein hydrogels for various uses, this reinforcement mechanism broadens the range of applications that can be addressed with shear‐thinning physical gels.  相似文献   

14.
Supramolecular self‐assembly offers routes to challenging architectures on the molecular and macroscopic scale. Coupled with microfluidics it has been used to make microcapsules—where a 2D sheet is shaped in 3D, encapsulating the volume within. In this paper, a versatile methodology to direct the accumulation of capsule‐forming components to the droplet interface using electrostatic interactions is described. In this approach, charged copolymers are selectively partitioned to the microdroplet interface by a complementary charged surfactant for subsequent supramolecular cross‐linking via cucurbit[8]uril. This dynamic assembly process is employed to selectively form both hollow, ultrathin microcapsules and solid microparticles from a single solution. The ability to dictate the distribution of a mixture of charged copolymers within the microdroplet, as demonstrated by the single‐step fabrication of distinct core–shell microcapsules, gives access to a new generation of innovative self‐assembled constructs.  相似文献   

15.
Molecular dyads based on polycyclic electron donor (D) and electron acceptor (A) units represent suitable building blocks for forming highly ordered, solution‐processable, nanosegregated D‐A domains for potential use in (opto)electronic applications. A new dyad, based on alkyl substituted hexa‐peri‐hexabenzocoronene (HBC) and perylene monoimide (PMI) separated by an ethinylene linker, is shown to have a high tendency to self‐assemble into ordered supramolecular arrangements at multiple length scales: macroscopic extruded filaments display long‐range crystalline order, nanofiber networks are produced by simple spin‐coating, and monolayers with a lamellar packing are formed by physisorption at the solution‐HOPG interface. Moreover, highly uniform mesoscopic ribbons bearing atomically flat facets and steps with single‐molecule heights self‐assemble upon solvent‐vapor annealing. Electrical measurements of HBC‐PMI films and mesoscopic ribbons in a transistor configuration exhibit ambipolar transport with well balanced p‐ and n‐type mobilities. Owing to the increased level of order at the supramolecular level, devices based on ribbons show mobility increases of more than one order of magnitude.  相似文献   

16.
There is considerable interest in developing functional protein arrays on the nanoscale for high‐throughput protein‐based array technology, and for the study of biomolecular and cell interactions at the physical scale of the biomolecules. To these ends, self‐assembly based techniques may be desirable for the nanopatterning of proteins on large sample areas without the use of lithography equipment. We present a fast, general approach for patterning proteins (and potentially other biomolecules) on the nanoscale, which takes advantage of the ability of block copolymers to self‐assemble into ordered surface nanopatterns with defined chemical heterogeneity. We demonstrate nanoarrays of immunoglobulin and bovine serum albumin on polystyrene‐block‐poly(methyl methacrylate) templates, and illustrate the applicability of our technique through immunoassays and DNA sensing performed on the protein nanoarrays. Furthermore, we show that the pattern formation mechanism is a nanoscale effect originating from a combination of fluid flow forces and geometric restrictions templated by an underlying nanopattern with a difference in protein adsorption behavior on adjacent, chemically distinct surfaces. This understanding may provide a framework for extending the patterning approach to other proteins and material systems.  相似文献   

17.
18.
5‐nm‐scale line and hole patterning is demonstrated by synergistic integration of block copolymer (BCP) lithography with atomic layer deposition (ALD). While directed self‐assembly of BCPs generates highly ordered line array or hexagonal dot array with the pattern periodicity of 28 nm and the minimum feature size of 14 nm, pattern density multiplication employing ALD successfully reduces the pattern periodicity down to 14 nm and minimum feature size down to 5 nm. Self‐limiting ALD process enable the low temperature, conformal deposition of 5 nm thick spacer layer directly at the surface of organic BCP patterns. This ALD assisted pattern multiplication addresses the intrinsic thermodynamic limitations of low χ BCPs for sub‐10‐nm scale downscaling. Moreover, this approach offers a general strategy for scalable ultrafine nanopatterning without burden for multiple overlay control and high cost lithographic tools.  相似文献   

19.
Here poly(N,N‐dimethylacrylamide)‐block‐poly(styrene) block copolymer micelles (BCPs) are advanced and applied to assemble periodic mesoporous organosilicas (PMOs) with noncylindrical pores. Using these BCP micelles, it is found that pore dimensions (11–23 nm), wall thicknesses (5–9 nm), and overall porosities (26%–78%) are independently programable, depending only on relative inputs for BCP and matrix former. Notably, the degree of order in all films improves as BCP loading approaches a packing limit of 63 vol%. Beyond this limit and regardless of pore dimensions, both porogen packing in the film and pore structure after thermal processing show significant deviations away from spherical close‐packed lattices. The surprising absence of film collapse in this regime allows here to quantify the evolution of pore structure through the thermally driven interfacial reconfigurability of BCP micelles in the hybrid films when porogen loading exceeds the packing limit by using both scattering techniques and scanning transmission electron microscopy tomography. Finally, the PMOs here give dielectric constants of 1.2 and 1.5 above and below the BCP packing limit, respectively—the lowest ever reported for this matrix material.  相似文献   

20.
A simple and direct wet chemistry method is reported to simultaneously synthesize nanostructured carbon films and particles through self‐assembly of poly(styrene)‐poly(4‐vinylpyridine) (PS‐P4VP) and carbohydrate precursors (turanose, raffinose, glucose, etc.) in two fabrication processes—spin‐coating and aerosol processing. Starting with a homogeneous solution containing PS‐P4VP and carbohydrates, evaporation of solvent during either spin‐coating or an aerosol process leads to the formation of ordered mesostructured films and particles. High temperature treatment in argon atmosphere removes PS fragments, carbonizes carbohydrates and partial PVP fragments, and results in ordered nanoporous carbon films and particles. SEM, TEM, and GISAXS characterization indicates that these nanostructured carbon materials exhibit large nanopores (> 20 nm), controlled 1–3 dimensional structures, and controlled surface chemistry. Nitrogen sorption isotherms and electrochemistry characterization indicates the accessibility of the carbon nanopores to both gas phase and aqueous phase. Results suggest that the nanostructured carbon films and particles can be tuned through solvent annealing, precursor concentration, and choice of block copolymers used. These carbon materials present varied practical applications for sorption and separation, sensors, electrode materials, etc.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号