首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
In deep ultraviolet lithography simulations, conventional application of Kirchhoff's boundary conditions on the mask surface provides the so-called "thin-mask" approximation of the object field. Current subwavelength lithographic operation, however, places a serious limitation on this approximation, which fails to account for the topographical, or "thick-mask," effects. In this paper, a new simulation model is proposed that is theoretically founded on the well-established physical theory of diffraction. This model relies on the key result that diffraction effects can be interpreted as an intrinsic edge property, and modeled with just two fixed parameters: width and transmission coefficient of a locally determined boundary layer applied to each chrome edge. The proposed model accurately accounts for thick-mask effects of the fields on the mask, greatly improving the accuracy of aerial image simulations in photolithography, while maintaining a reasonable computational cost.  相似文献   

2.
Recently, a set of gradient-based optical proximity correction (OPC) and phase-shifting mask (PSM) optimization methods has been developed to solve for the inverse lithography problem under scalar imaging models, which are only accurate for numerical apertures (NAs) of less than approximately 0.4. However, as lithography technology enters the 45 nm realm, immersion lithography systems with hyper-NA (NA>1) are now extensively used in the semiconductor industry. For the hyper-NA lithography systems, the vector nature of the electromagnetic field must be taken into account, leading to the vector imaging models. Thus, the OPC and PSM optimization approaches developed under the scalar imaging models are inadequate to enhance the resolution in immersion lithography systems. This paper focuses on developing pixelated gradient-based OPC and PSM optimization algorithms under a vector imaging model. We first formulate the mask optimization framework, in which the imaging process of the optical lithography system is represented by an integrative and analytic vector imaging model. A gradient-based algorithm is then used to optimize the mask iteratively. Subsequently, a generalized wavelet penalty is proposed to keep a balance between the mask complexity and convergence errors. Finally, a set of methods is exploited to speed up the proposed algorithms.  相似文献   

3.
用于100nm节点ArF准分子激光光刻的相移掩模(PSM)技术主要有无铬相移掩模(CPM),交替相移掩模(APSM)、衰减相移掩模(AttPSM)和混合相移掩模技术。对这些掩模的基本原理、制作方法及性能比较进行了分析研究。研究表明,无铬相位光刻(CPL)PSM和高透AttPSM 相结合构成的混合掩模最适合用于193nmArF光刻,以产生100nm节点抗蚀剂图形。  相似文献   

4.
Electromagnetic diffraction of a light wave by a single aperture of subwavelength width and subsequent propagation in a lossy medium are numerically investigated. This diffraction problem simulates exposure of a resist with an amplitude mask. It is found that there is the possibility of fabricating a lambda/2 structure on a resist of lambda/4 thickness, where lambda is the wavelength of the exposing light in vacuum, by conventional contact or by proximity lithography. It is also found that an air gap between a mask and a resist of up to lambda/2 does not have a significant effect on resolution. This approach permits easy and cost-effective fabrication of subwavelength structures and leads to wide availability of diffractive optical elements in the nonscalar domain.  相似文献   

5.
Argon fluoride laser (ArF) lithography using immersion technology has the potential to extend the application of optical lithography to 45 nm half-pitch and possibly beyond. By keeping the same 4x magnification factor, the dimensions of the structures on masks are becoming comparable to the exposure wavelength or even smaller. The polarization effect induced by mask features is, however, an issue. The introduction of a larger mask magnification should be strongly considered when poor diffraction efficiencies from subwavelength mask features and the resulting image degradation would be encountered in hyper-NA lithography. The dependence of the diffraction efficiencies on mask pitch and illuminating angle are evaluated. The near-field intensity and phase distributions from the mask are calculated. The imaging performance of 4x and 8x masks for the sub-45 nm node are explored. A rigorous coupled-wave analysis is developed and employed to analyze the optical diffraction from the 3D topographic periodic features.  相似文献   

6.
研究了交替型相移掩模及离轴照明对65nm分辨率ArF浸没式光刻的影响,在3/4环形照明和3/4四极照明方式下,分别选用传统掩模和交替型相移掩模,研究65nm线宽的密集线条、半密集线条、孤立线条在较大的曝光系统参数范围内,对光刻工艺窗口的改善。并对在不同的照明方式、掩模结构下获得的工艺窗口进行了比较,结果表明:①在较大焦深(DOF)范围内,满足光刻性能要求可以有较大范围的曝光系统参数配置;②相时于传统照明和传统掩模,采用交替型相移掩模或者离轴照明,焦深均可提高100%-150%。  相似文献   

7.
We describe how optical contact lithography based on plasmon particle array masks allows generation of a large number of different subwavelength exposure patterns using a single mask. Within an exact point dipole model, we study the local response of silver particles in small two-dimensional arrays with 50-200 nm spacing. We show how illumination with unfocused light allows optically addressing particles either individually or in controlled configurations; which pattern will be exposed by the mask is programmed by varying the wavelength, incidence angle, and polarization of the incident wave.  相似文献   

8.
为了获得具有金字塔结构的二维亚波长结构表面,提高其高宽比,用掩模曝光光刻及反应离子刻蚀技术,以SF6和O2为反应气体,在Ge衬底上制备了二维亚波长结构.用扫描电镜对刻蚀图形的形貌进行了观察,研究了功率、气压、气体流量及掩模图形对刻蚀图形的影响.结果表明:刻蚀图形腰部被优先刻蚀,形成凹陷的侧壁轮廓;O2流量增大有利于在侧壁形成保护层,从而减小腰部刻蚀、增大顶部及根部刻蚀;功率及气压过大或过小均会使侧壁刻蚀较大;方形图案比圆形图案掩模更有利于刻蚀出深度较大的亚波长结构.  相似文献   

9.
Amako J  Sawaki D 《Applied optics》2012,51(16):3526-3532
Interference lithography using a deep-ultraviolet (DUV) laser is instrumental in the manufacture of subwavelength patterns used at visible wavelengths. We investigated a grating mask strategy for exposure in terms of how to set and illuminate masks. To obtain high aspect ratio patterns, high fringe visibility, and high exposure uniformity are essential, and for that purpose the use of only two beams with liquid immersion is necessary but not sufficient. It needs to be addressed whether the grating should face air or liquid to achieve index matching without affecting its beam-splitting properties. Currently, the most feasible solution to produce sub-200 nm periods requires the use of a fused-silica grating under Bragg geometry (not normal incidence geometry) and filling the gap between the grating and resist with a high-index liquid.  相似文献   

10.
A subwavelength concentric ring metal grating for visible light (λ=632.8?nm) is designed and fabricated by electron-beam lithography to transform circularly polarized light into radially polarized light. Experimental results are compared to theoretical predictions and the advantages and disadvantages of the element with alternative methods are discussed.  相似文献   

11.
Periodic micro- and nanostructures (gratings) have many significant applications in electronic, optical, magnetic, chemical and biological devices and materials. Traditional methods for fabricating gratings by writing with electrons, ions or a mechanical tip are limited to very small areas and suffer from extremely low throughput. Interference lithography can achieve relatively large fabrication areas, but has a low yield for small-period gratings. Photolithography, nanoimprint lithography, soft lithography and lithographically induced self-construction all require a prefabricated mask, and although electrohydrodynamic instabilities can self-produce periodic dots without a mask, gratings remain challenging. Here, we report a new low-cost maskless method to self-generate nano- and microgratings from an initially featureless polymer thin film sandwiched between two relatively rigid flat plates. By simply prising apart the plates, the film fractures into two complementary sets of nonsymmetrical gratings, one on each plate, of the same period. The grating period is always four times the thickness of the glassy film, regardless of its molecular weight and chemical composition. Periods from 120 nm to 200 microm have been demonstrated across areas as large as two square centimetres.  相似文献   

12.
Analysis and optimization of diffraction effects in nanolithography through multilayered media with a fast and accurate field-theoretical approach is presented. The scattered field through an arbitrary two-dimensional (2D) mask pattern in multilayered media illuminated by a TM-polarized incident wave is determined by using an electric field integral equation formulation. In this formulation the electric field is represented in terms of complex images Green's functions. The method of moments is then employed to solve the resulting integral equation. In this way an accurate and computationally efficient approximate method is achieved. The accuracy of the proposed method is vindicated through comparison with direct numerical integration results. Moreover, the comparison is made between the results obtained by the proposed method and those obtained by the full-wave finite-element method. The ray tracing method is combined with the proposed method to describe the imaging process in the lithography. The simulated annealing algorithm is then employed to solve the inverse problem, i.e., to design an optimized mask pattern to improve the resolution. Two binary mask patterns under normal incident coherent illumination are designed by this method, where it is shown that the subresolution features improve the critical dimension significantly.  相似文献   

13.
A conventional method to synthesize diffractive optical elements and computer-generated holograms (CGH's) with high diffraction efficiency relies on an increase of phase levels. To fabricate such a device, one should perform electron-beam (e-beam) lithography with multiple-dose exposures or multiple-step photolithography. Here we describe a one-step method, which is based on the effective medium theory, for the fabrication of a multilevel phase CGH. The phase modulations required in cells of a CGH are constructed by means of dividing these cells into fine (subwavelength) structures. The surface features of these fine structures control their corresponding indices, and their values can be calculated according to the effective medium theory. By proper selection of the fine structures, based on the requirements of the phase modulation of the cells, a CGH with multilevel phases is synthesized when a binary structure is relieved on the dielectric material. Then the CGH can be fabricated by direct e-beam lithography or one-step photolithography through an amplitude mask followed by an ion-etching treatment. The experimental results showed that the reconstructed wave field is in good agreement with that simulated by a computer, indicating the effectiveness of the proposed method.  相似文献   

14.
Wang Y  Srituravanich W  Sun C  Zhang X 《Nano letters》2008,8(9):3041-3045
Nearfield scanning optical microscopy (NSOM) offers a practical means of optical imaging, optical sensing, and nanolithography at a resolution below the diffraction limit of the light. However, its applications are limited due to the strong attenuation of the light transmitted through the subwavelength aperture. To solve this problem, we report the development of plasmonic nearfield scanning optical microscope with an efficient nearfield focusing. By exciting surface plasmons, plasmonic NSOM probes are capable of confining light into a 100 nm spot. We show by nearfield lithography experiments that the intensity at the near field is at least one order stronger than the intensity obtained from the conventional NSOM probes under the same illumination condition. Such a high efficiency can enable plasmonic NSOM as a practical tool for nearfield lithography, data storage, cellular visualization, and many other applications requiring efficient transmission with high resolution.  相似文献   

15.
Naulleau PP 《Applied optics》2004,43(20):4025-4032
The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels requires accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One potentially significant contributor to LER arises from roughness on the mask coupling to speckle in the aerial image and consequently to LER in the printed image. Here I numerically study mask surface roughness and phase roughness to resist LER coupling both as a function of illumination coherence and defocus. Moreover, the potential consequences of this mask effect for recent EUV lithography experiments is studied through direct comparison with experimental through-focus printing data collected at a variety of coherence settings. Finally, the effect that mask roughness will play in upcoming 0.3-numerical-aperture resist testing is considered.  相似文献   

16.
H.Y. Jung  H.J. Lee  C.Y. Jeong 《Thin solid films》2009,517(14):3938-3941
Extreme ultraviolet lithography (EUVL) is the most promising candidate for next generation lithography due to its feature size of 32 nm or below. We investigated the etching properties of materials in an alternating, phase-shift mask (PSM) structure for EUVL, including a Ru top capping layer, Mo-Si multilayer (ML) and Ni etch stop layer (ESL), by varying the Cl2/O2 and Cl2/Ar gas flow ratios, and the dc self-bias voltage (Vdc) in inductively coupled plasma (ICP). The Ru layer could be etched effectively in Cl2/O2 plasmas and Mo-Si ML could be etched with an infinitely high etch selectivity over Ni ESL in Cl2/Ar plasmas, even with increasing overetch time.  相似文献   

17.
Naulleau PP 《Applied optics》2005,44(2):183-189
Relying on reflective mask technology, extreme-ultraviolet (EUV) lithography is particularly vulnerable to mask substrate roughness. Previous research has shown mask roughness to play a significant role in printed line-edge roughness (LER). Here the analysis of mask-roughness effects is extended to printed contact-size variations. Unlike LER, illumination partial coherence is found to have little affect on the results for contacts that are near the diffraction limit. Analysis shows that, given the current state-of-the-art EUV mask, mask roughness has a significant effect on the process window for small contacts. The analysis also shows that a significant portion of the contact-size variation observed in recent 0.1-numerical-aperture EUV exposures can be attributed to the mask-roughness effect studied here.  相似文献   

18.
姜念云 《光电工程》1997,24(4):21-25
通过对接近式光刻中光通过掩模版后的近场衍射特性的计算机模拟分析和曝光实验,提出了一种新型的接近式衍射小曝光方法,适用于紫外和X射线的接近曝光技术条件下,实现缩小曝光,可使实现亚半微米线宽图形的曝光和使基掩模版制作更为容易;并且具有等量缩小和焦深大的特点。  相似文献   

19.
Plasmonic hot spots are formed when metal surfaces with high curvature are separated by nanoscale gaps and an electromagnetic field is localized within the gaps. These hot spots are responsible for phenomena such as subwavelength focusing, surface-enhanced Raman spectroscopy and electromagnetic transparency, and depend on the geometry of the nanojunctions between the metal surfaces. Direct-write techniques such as electron-beam lithography can create complex nanostructures with impressive spatial control but struggle to fabricate gaps on the order of a few nanometres or manufacture arrays of nanojunctions in a scalable manner. Self-assembly methods, in contrast, can be carried out on a massively parallel scale using metal nanoparticle building blocks of specific shape. Here, we show that polymer-grafted metal nanocubes can be self-assembled into arrays of one-dimensional strings that have well-defined interparticle orientations and tunable electromagnetic properties. The nanocubes are assembled within a polymer thin film and we observe unique superstructures derived from edge-edge or face-face interactions between the nanocubes. The assembly process is strongly dependent on parameters such as polymer chain length, rigidity or grafting density, and can be predicted by free energy calculations.  相似文献   

20.
Naulleau PP  Gallatin GM 《Applied optics》2003,42(17):3390-3397
The control of line-edge roughness (LER) of features printed in photoresist poses significant challenges to next-generation lithography techniques such as extreme-ultraviolet (EUV) lithography. Achieving adequately low LER levels will require accurate resist characterization as well as the ability to separate resist effects from other potential contributors to LER. One significant potential contributor is LER on the mask. Here we explicitly study the mask to resist LER coupling using both analytical and computer-simulation methods. We present what is to our knowledge a new imaging transfer function referred to as the LER transfer function (LTF), which fundamentally differs from both the conventional modulation transfer function and the optical transfer function. Moreover, we present experimental results demonstrating the impact of current EUV masks on projection-lithography-based LER experiments.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号