首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
This paper describes reaction kinetics of chemical vapor deposition of WSix films from WF6 and SiH2Cl2, focusing on the effect of added H2, SiH4, and Si2H6 as an active reaction initiator. Our studies indicate that the temperature at which film formation is extinguished, Tex, can be lowered by introducing H2 instead of the standard Ar carrier gas. For a SiH2Cl2/WF6 pressure ratio of 20, H2 addition changed the deposition mode from selective W deposition to blanket WSix deposition. The added H2 also improved the step-coverage profile for substrate temperatures below 600 °C. Measured step coverage profiles indicate that the activation energy of deposition species was 147 kJ/mol. Adding either SiH4 or Si2H6 can assist the film-forming reactions to achieve acceptable Si/W atomic composition ratios. Under these conditions, the residual fluorine concentration remained at acceptably low levels that are typical of conventional WF6/SiH2Cl2 CVD processes.  相似文献   

2.
A ternary WNxCy system was deposited in a thermal ALD (atomic layer deposition) reactor from ASM at 300 °C in a process sequence using tungsten hexafluoride (WF6), triethyl borane (TEB) and ammonia (NH3) as precursors. The WCx layers were deposited by a novel ALD process at a process temperature of 250 °C. The WNx layers were deposited at 375 °C using bis(tert-butylimido)-bis-(dimethylamido)tungsten (tBuN)2(Me2N)2W (imido-amido) and NH3 as precursors. WNx grows faster on plasma enhanced chemical vapor deposition (PECVD) oxide than WCx does on chemical oxide. WNxCy grows better on PECVD oxide than on thermal oxide, which is opposite of what is seen for WNx. In the case of the ternary WNxCy system, the scalability towards thinner layers and galvanic corrosion behavior are disadvantages for the incorporation of the layer into Cu interconnects. ALD WCx based barriers have a low resistivity, but galvanic corrosion in a model slurry solution of 15% peroxide (H2O2) is a potential problem. Higher resistivity values are determined for the binary WNx layers. WNx shows a constant composition and density throughout the layer.  相似文献   

3.
Ruthenium thin films were grown by thermal and plasma-enhanced atomic layer deposition (PE-ALD) using O2 and ammonia (NH3) plasma, respectively. RuCp2 and Ru(EtCp)2 were used as Ru precursors. Pure and low resistivity (<20 μΩ cm) Ru films were grown by PE-ALD as well as thermal ALD. PE-ALD Ru showed no nucleation delay on various substrates including TaNx, Si, and SiO2, in contrast to thermal ALD Ru. And the root-mean-square (RMS) roughness of PE-ALD Ru was lower than that of thermal ALD Ru. Additionally, metal-oxide-semiconductor (MOS) capacitor composed of p-Si/ALD Ta2O5/ALD Ru (35 nm) was fabricated and C-V measurements were performed for as-deposited sample. Very small hysteresis of 20 mV was obtained, and effective work function difference to Si substrate was minimal as −0.03 V. For comparison, MOS capacitor was fabricated using sputtered Ru and large hysteresis of 0.5 V and flat band voltage (VFB) shift to negative value were observed. This result indicates that ALD process produces more reliable, damage free Ru gate compared to sputtering process.  相似文献   

4.
TiN was grown by atomic layer deposition (ALD) from tetrakis(dimethylamino)titanium (TDMAT). Both thermal and plasma enhanced processes were studied, with N2 and NH3 as reactive gases. Using an optimized thermal ammonia based process, a growth rate of 0.06 nm/cycle and a resistivity of 53 × 103 μΩ cm were achieved. With an optimized plasma enhanced NH3 process, a growth rate of 0.08 nm/cycle and a resistivity of 180 μΩ cm could be obtained. X-ray photo electron spectroscopy (XPS) showed that the difference in resistivity correlates with the purity of the deposited films. The high resistivity of thermal ALD films is caused by oxygen (37%) and carbon (9%) contamination. For the film deposited with optimized plasma conditions, impurity levels below 6% could be achieved. The copper diffusion barrier properties of the TiN films were determined by in-situ X-ray diffraction (XRD) and were found to be as good as or better than those of films deposited with physical vapor deposition (PVD).  相似文献   

5.
TaCN films were deposited using atomic layer deposition (ALD) using PDMAT and H2/Ar plasma. Calculations based on density functional theory (DFT) indicate a high energy barrier and a low reaction energy for reducing the +5 Ta oxidation state in the PDMAT precursor by using pure H radicals. Through the assistance of Ar radicals, low resistivity of TaCN films of 230 μΩ cm could be deposited by using H2/Ar plasma. By employing in situ X-ray diffraction during annealing, the activation energy for Cu diffusion through the TaCN barrier was evaluated at 1.6 eV.  相似文献   

6.
The deposition of Cu seed layers for electrochemical Cu deposition (ECD) via atomic layer deposition (ALD) of copper oxide and subsequent thermal reduction at temperatures between 110 and 120 °C was studied on different diffusion barrier systems. While optimization of the process is required on TaN with respect to reduction and plating, promising results were obtained on blanket PVD Ru. The plating results on layers of ALD Cu with underlying Ru even outperformed the ones achieved on PVD Cu seed layers with respect to morphology and resistivity. Applying the processes to via and line patterns gave similar results, suggesting that a combination of ALD Cu with PVD or ALD-grown Ru could significantly improve the ECD Cu growth.  相似文献   

7.
Ruthenium films were grown by plasma enhanced atomic layer deposition (ALD) on Si(1 0 0) and ALD TiN. X-ray diffraction (XRD) showed that the as-deposited films on Si(1 0 0) were polycrystalline, on TiN they were (0 0 2) oriented. After annealing at 800 °C for 60 s, all Ru films were strongly (0 0 2) textured and very smooth. Electron backscatter diffraction (EBSD) and transmission electron microscopy (TEM) demonstrated that the lateral grain size of the annealed films was several 100 nm, which was large compared to the 10 nm thickness of the films. No ruthenium silicide was formed by annealing the ALD Ru films on Si(1 0 0). Comparison with sputter deposited films learned that this occurred because the ammonia plasma created a SiOxNy reaction barrier layer prior to film growth.  相似文献   

8.
This study demonstrated thin-film encapsulation of bulk-heterojunction polymer photovoltaic cells, utilizing a process based on atomic layer deposition (ALD) that both prevented degradation caused by ambient gases and served as an annealing step that increased the initial efficiency of the cells. With the ALD temperature set at 140 °C and the total deposition time set at 1 h, the photovoltaic cells, based on blended poly-3-hexylthiophene (P3HT) and [6,6]-phenyl C61 butyric acid methylester (PCBM), were optimally annealed during encapsulation, achieving a power conversion efficiency (PCE) of 3.66%. Encapsulating the cells with a 26 nm Al2O3/HfO2 nanolaminated film overcoated with an epoxy resin protection layer enabled the cells to obtain an in-air degradation rate that was similar to cells that were stored in nominally O2/H2O-free atmosphere. The nanolaminated structure of the encapsulation film resolved the issue of hydrolysis-induced aging observed with Al2O3 films, owing to the hydrophobicity of the HfO2 layers. Additionally, extended exposure of the ALD precursors during the ALD process significantly improved the coverage of the ALD films over the P3HT/PCBM active layer at the perimeter of the cells.  相似文献   

9.
Low pressure chemical vapor deposition tungsten films were deposited at various temperatures, using a WF6−SiH4−H2 gas mixture. The impurity distribution at the W/TiN interface was investigated by Auger electron spectroscopy depth profiling. Some fluorine accumulation at the interface is observed when the tungsten is deposited below 300°C. However, above 300°C, no accumulation of fluorine could be observed. A result obtained from thermodynamic calculations using SOLGASMIX-PV suggests that this phenomenon is closely associated with the highly oxidized surface layer of TiN at the initial stage of deposition. The reaction of the gas mixture with the TiN surface layer seems to enhance the fluorine accumulation, which lowers the adherence of the interface and increases the contact resistance.  相似文献   

10.
PVD Ta-based and ALD TaN layers were studied as Cu diffusion barriers on poly-silicon, NiSi and CoSi2 for Cu contact applications. The effectiveness of nanometer-thick layers, deposited in manufacturing compatible chambers on 200 and 300 mm wafers, is evaluated by detection of Cu-silicidation temperature using high temperature in situ XRD. It is found that Si diffuses into the α-Ta lattice for PVD barriers between 300 and 500 °C, and induces Ta silicidation at 600 °C. The agglomeration of TaSi2 seems to be responsible for the damage of barrier continuity and cause subsequent Cu-silicidation. The growth of ALD TaN on different surfaces of NiSi was studied by XRF, RBS and XRR. The growth curves show excellent linearity as a function of thickness. TOF-SIMS shows closed layers after 60 ALD cycles. In situ XRD reveals that the failure temperature of 4 nm thick ALD layers is higher than 500 °C. It is found that the failure of 3 and 4 nm ALD TaN layers in Cu/barrier/NiSi stacks is a diffusion controlled process, with an activation energy Q of ∼2.2 eV and a pre-exponential factor D0 of ∼3.8 × 10−3 cm2/s.  相似文献   

11.
We investigate Co silicide phase formation when extra Si is added within an as deposited 50 nm Co film. The addition of Si is investigated for both the Co/SiO2 and Co/Si(1 0 0) system. A series of 10 Co-Si mixed films with a Si content varying from 21 to 59 at.% was prepared and investigated during annealing with in situ X-ray diffraction. The oxide system is used as reference system to identify phases that initially crystallize in an amorphous mixture of a given composition. Multiple phases can nucleate, and the temperature of crystallization depends on the Co-Si atomic ratio. Upon heating of the Co(Si)/Si system, the first reaction is a similar crystallization reaction of the Co(Si) mixture. Once the first phase is formed, one has the normal system of a silicide phase in contact with an unlimited amount of Si from the substrate, and the sequential phase formation towards CoSi2 is established. For deposited layers of composition ranging from 48%Si to 52%Si, the CoSi is the first phase to form and increasing the amount of Si leads to a remarkable improvement of the thermal stability of CoSi on Si(1 0 0). CoSi2 nucleation was extensively delayed by 150 °C compared to the reaction observed from a pure Co film on Si(1 0 0). Electron backscatter diffraction measurements reveal that in this range, the gradual Si increase systematically leads to bigger CoSi grains (up to 20 μm). This shows that the grain size of the CoSi precursor strongly affects the nucleation of the following CoSi2 phase. Laser-light scattering measurements suggest that adding more than 42%Si reduces the roughness of the CoSi2 layer.  相似文献   

12.
Ta-N based thin films were grown by thermal atomic layer deposition (ALD) with an alternating supply of the reactant source TBTDET (tert-butylimidotris(diethylamido)tantalum) and NH3 (ammonia). The films were deposited using a newly designed and constructed atomic layer deposition prototype tool combined with several in situ metrology. It was observed that thin films were successfully deposited on a 300 mm Wafer with a saturated growth rate of approximately 0.55 Å/cycle at 270 °C. The as deposited films resulted in the formation of Ta(C)N consisting of 38 at% Ta, 32 at% N and 10 at% C. With in situ spectroscopic ellipsometry (SE) the growing behaviour of the film was investigated and compared to atomic force microscopy (AFM) images.  相似文献   

13.
We report on the fabrication of Schottky diodes based on n-type zinc oxide (ZnO) grown by atomic layer deposition (ALD) at low temperature (100 °C). These structures are suitable as selector elements in highly integrated non volatile memories based on crossbar architecture. The junctions are fully realized by optical lithography and the smallest investigated structures are 3 × 3 μm2 area. Several metals have been tested to single out the most suitable ohmic and Schottky contact materials. The electrical characterisation shows good properties with a forward current above 104 A/cm2 and a rectifying ratio of 105.  相似文献   

14.
HfTaxOy high-k dielectric layers with different compositions were deposited using ALD on 1 nm SiO2 generated by ozone based cleaning of 200 mm Si(1 0 0) surface. Physical characterization of blanket layers and C-V mapping demonstrates that the ALD layers have excellent uniformity and controllable compositions. The layers with a composition of HfTaO5.5 remain amorphous after annealing at 900 °C. The C-V measurements of MOS capacitors show no hysteresis, negligible frequency dispersion and interfacial state density smaller than 3 × 1011 (cm−2 eV−1). k-value of the amorphous layers varies in the range from 20 to 25, depending on layer composition. The flat band voltage does not shift with the increase of EOT, implying that the effect of fixed charge densities in the layers is negligible. The I-V measurements show a leakage reduction comparable to that of the ALD HfO2 layers.  相似文献   

15.
ZrO2 thin films were deposited by the atomic layer deposition process on Si substrates using tetrakis(N,N′-dimethylacetamidinate) zirconium (Zr-AMD) as a Zr precursor and H2O as an oxidizing agent. Tetrakis (ethylmethylamino) zirconium (TEMA-Zr) was also evaluated for a comparative study. Physical properties of ALD-derived ZrO2 thin films were studied using ellipsometry, grazing incidence XRD (GI-XRD), high resolution TEM (HRTEM), and atomic force microscopy (AFM). The ZrO2 deposited using Zr-AMD showed a better thermal stability at high substrate temperature (>300 °C) compared to that using TEMA-Zr. GI-XRD analysis reveals that after 700 °C anneal both ZrO2 films enter tetragonal phase. The electrical properties of N2-annealed ZrO2 film using Zr-AMD exhibit an EOT of 1.2 nm with leakage current density as low as 2 × 10−3 A/cm2 (@Vfb−1 V). The new Zr amidinate is a promising ALD precursor for high-k dielectric applications.  相似文献   

16.
Highly efficient nanolaminate diffusion barriers made of TiO2/Al2O3 multilayers using low temperature atomic layer deposition optimized for organic light emitting diodes are presented. Water vapour transmission rates (WVTR) show values of the order of 10−3 g/m2/d at 38 °C, 90% RH on planarized PEN webs (pPEN) when ozone is used as the oxidizing precursor for Al2O3 deposition. OLED encapsulated with such diffusion barriers display few dark spots observed over 2000 h after deposition and for aging under ambient conditions. Diffusion barriers deposited using water as the oxidizing precursor for Al2O3 result in at least 10 times lower WVTR on pPEN webs (10−4 g/m2/d). However, these water based diffusion barriers are incompatible with OLEDs such that the latter show extensive black spot formation (areas of no visible luminescence) immediately after deposition. Finally through the growth of these initial black spots, more than 40% loss in initial luminescence occurs after merely 900 h of operation. In this report, we introduce a new methodology for OLED encapsulation using a two step process where 10 nm thick ozone Al2O3 based nanolaminate diffusion barrier is followed by a 90 nm thick water Al2O3 based diffusion barrier (keeping TiO2 precursors always the same). With this novel diffusion barrier stack, no visible black spot growth is observed over 2000 continuous operation hours under ambient conditions. Simultaneously, high OLED luminescence representing 90% of the initial luminescence value, which is measured at t = 0 is maintained after 2000 h of operation. Low WVTR values in the 10−4 g/m2/d range on pPEN webs are consistently measured in these essentially water based barrier layers with only 10 nm thick starting ozone Al2O3 based nanolaminate diffusion barriers. The results reported here have implications on developing methodologies for ultra high performance, OLED compatible diffusion barriers by ALD.  相似文献   

17.
Atmospheric pressure chemical vapor deposition (APCVD) of tungsten films using WF6/H2 chemistry has been studied. A statistical design of experiments approach and a surface response methodology were used to determine the most important process parameters and to obtain the best quality film possible in the parameter range studied. It was found that the deposition rate depends strongly on WF6 flow rate, temperature, and the interaction between hydrogen flow rate and temperature. The resistivity was found to have a strong dependence on WF6 and H2 flow rates and temperature. An activation energy of 0.4 eV was calculated for the reaction rate limited growth regime. Empirical equations for predicting the deposition rate and resistivity were obtained. The resistivity decreases with both increasing film thickness and grain size. The films grown in the studied process parameter range indicate that (110) is the preferred orientation for films deposited with low WF6/H2 flow rate ratios at all deposition temperatures (350–450°C), whereas, the (222) orientation dominates at high WF6/H2 flow ratios and high deposition temperatures. Also, the grain size is larger for (222) oriented films than for (110) oriented films. The results of this study suggest that high-quality, thin film tungsten can be deposited using APCVD.  相似文献   

18.
Native oxide removal and surface termination of InAs(1 0 0) and InSb(1 0 0) using liquid and gas phase HF chemistries were studied using X-ray photoelectron spectroscopy. Aqueous HF etching removed the native oxides on InAs and produced elemental As, which reoxidized when exposed to air. On InSb the native oxides were not completely removed due to F-termination, which passivated the surface. Gas phase HF etching of InSb native oxide completely removed Sb2O5 producing a stoichiometric semiconductor surface terminated by F atoms on primarily In surface sites. On InAs gas phase HF completely removed As2O3 producing two surface stoichiometries. For the majority of HF to water molar ratios studied, a stoichiometric bulk metal and an As-rich overlayer were produced. For a lean HF composition, an As-rich bulk metal and In-rich overlayer were produced. Deposition of Al2O3 by atomic layer deposition (ALD) at 170 °C directly onto F-terminated InSb produced a chemically sharp Al2O3/InSb interface. ALD of Al2O3 on an In-rich overlayer on InAs resulted in an interfacial layer containing As-oxide.  相似文献   

19.
Hf-O-N and HfO2 thin films were evaluated as barrier layers for Hf-Ti-O metal oxide semiconductor capacitor structures. The films were processed by sequential pulsed laser deposition at 300 °C and ultra-violet ozone oxidation process at 500 °C. The as-deposited Hf-Ti-O films were polycrystalline in nature after oxidation at 500 °C and a fully crystallized (o)-HfTiO4 phase was formed upon high temperature annealing at 900 °C. The Hf-Ti-O films deposited on Hf-O-N barrier layer exhibited a higher dielectric constant than the films deposited on the HfO2 barrier layer. Leakage current densities lower than 5 × 10 A/cm2 were achieved with both barrier layers at a sub 20 Å equivalent oxide thickness.  相似文献   

20.
We report on the photovoltaic properties of polymer solar cells that use NiO-coated indium tin oxide (ITO) as the hole-collecting electrode. The NiO films were prepared by atomic layer deposition (ALD) on top of ITO with thicknesses varying from 6 to 25 nm. The NiO films increase the work function (WF) of the ITO, allowing NiO-coated ITO to act as an efficient hole-collecting electrode. Devices made with pristine NiO showed poor current–voltage characteristics. However, subsequent O2-plasma treatment further increased the WF of NiO, tuning NiO-coated ITO into an efficient hole-collecting electrode for polymer solar cells based on the donor poly(3-hexylthiophene-2,5-diyl) (P3HT). The polymer solar cells with the O2-plasma treated NiO-coated ITO hole-collecting electrodes yield a power conversion efficiency of 4.1 ± 0.2% under simulated air mass 1.5 G 100 mW/cm2 illumination, which is comparable to reference devices with poly(3,4-ethylenedioxythiophene): poly(styrenesulfonate) (PEDOT:PSS)-coated ITO hole-collecting electrodes.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号