首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 953 毫秒
1.
2.
The growth of large diameter, semi-insulating GaAs crystals of improved purity by Liquid Encapsulated Czochralski (LEC) pulling from pyrolytic boron nitride (PBN) crucibles and characterization of this material for direct ion implantation technology, is described. Three-inch diameter, 〈100〉-oriented GaAs crystals have been grown in a high pressure Melbourn crystal puller using 3 kg starting charges synthesized in-situ from 6/9s purity elemental gallium and arsenic. Undoped and Cr-doped LEC GaAs crystals pulled from PBN crucibles exhibit bulk resistivities in the 107 and 108 Ω cm range, respectively. High sensitivity secondary ion mass spectrometry (SIMS) demonstrates that GaAs crystals grown from PBN crucibles contain residual silicon concentrations in the mid 1014 cm?3 range, compared to concentrations up to the 1016 cm?3 range for growths in fused silica containers. The residual chromium content in undoped LEC grown GaAs crystals is below the SIMS detection limit for Cr (4 × 1014 cm?3).The achievement of direct ion implanted channel layers of near-theoretical mobilities is further evidence of the improved purity of undoped, semi-insulating GaAs prepared by LEC/PBN crucible techniques. Direct implant FET channels with (1–1.5) × 1017 cm?3 peak donor concentrations exhibit channel mobilities of 4,800–5,000 cm2/V sec in undoped, semi-insulating GaAs substrates, compared with mobilities ranging from 3,700 to 4,500 cm2/V sec for various Cr-doped GaAs substrates. The concentration of compensating acceptor impurities in semi-insulating GaAs/PBN substrates is estimated to be 1 × 1016 cm?3 or less, and permits the implantation of 2 × 1016 cm?3 channels which exhibit mobilities of 5,700 and 12,000 cm2/V sec at 298K and 77K, respectively.Discrete power FET's which exhibit 0.7 watts/mm output and 8 dB associated gain at 8 GHz have been fabricated using these directly implanted semi-insulating GaAs substrates.  相似文献   

3.
The effects of RF annealing upon electron-beam irradiated MOS and MNOS structures are discussed. The capacitors are irradiated with a 25 keV electron beam with the dosage of either 1.6×10?5 Coul/cm2 or 3.2×10?5 Coul/cm2. Both the flat-band voltage, VFB, and the mid-gap surface state density, NFS, are examined as a function of annealing duration and input power and are compared to the thermal annealing results. The annealing results show that the RF annealing is more effective than the conventional thermal annealing. The results also show that the annealing rate is different between MOS and MNOS capacitors. This observation is explained in terms of the difference in trapping behavior and additional stress induced by the Si3N4 film.  相似文献   

4.
The low-frequency noise spectra of partially annealed boron-implanted silicon resistors with various geometries are measured. The implantation energies are 50, 80 and 110 keV and the doses are 2·5 × 1012 cm?2, 1·0 × 1013 cm?2 and 1·0 × 1014 cm?2. The spectra exhibit thermal noise and ??n (excess) noise exclusively. Investigations indicate that the contracts from the implanted layer to the electrode generally contribute small amounts to the total excess noise observed. The excess noise exhibits a strong dependence on the sheet resistance of the layers, while the dependence on substrate bias, implantation energy, and on temperature is relatively weak. A discussion of the results is given in terms of a volume effect. Noise measurements on implanted layers, produced under carefully controlled conditions, show promise as a tool to investigate excess noise.  相似文献   

5.
It is ascertained that implantation of 1-MeV ytterbium ions with a dose of 1013 cm?2 into silicon with subsequent annealing at temperatures of 600–1100°C gives rise to donor centers. The donor-center concentration is higher in the samples implanted additionally with oxygen ions. The results show that at least two types of donor centers are formed; these centers contain either ytterbium or oxygen impurity atoms. The dependence of electron mobility on the concentration of electrically active centers in the silicon layers implanted with the ytterbium rare-earth ions is determined in the concentration range of 7×1015–1017 cm?3.  相似文献   

6.
Wet oxidations of (100) silicon implanted with an arsenic dose of 2 × 1016 cm−2 and an energy of 30 keV were carried out in the temperature range between 600 and 900° C. The oxidation rate is increased on the arsenic implanted samples up to a factor of 2000 as compared to undoped samples. During these oxidations the arsenic suicide phase AsSi is precipitated at the oxide/silicon interface. After short oxidation times at 600° C, a continuous AsSi layer is found. It is dissolved during extended oxidation times and finally almost all As is incorporated in the oxide. After 900° C oxidations, substantial AsSi crystallites remain at the Si/SiO2 interface. They are still observed up to the larg-est oxide thickness grown (2.3 μm). The AsSi phase and the distribution of the im-planted arsenic were analyzed by TEM, SIMS and XRF measurements.  相似文献   

7.
A study of the electrical characteristics of InP implanted with C, Si, Ge and Sn demonstrates that all of these column IV elements are donors, although the net electrical activation achieved with the light ion C was only about 5%. Samples implanted at temperatures of 150–200°C generally had lower sheet resistivities, higher mobilities and except for high doses, higher sheet carrier concentrations than those done at room temperatures. Implants at 150–200°C with 1 × 1014cm?2 of the heavier ions, Si, Ge or Sn, resulted in layers with sheet carrier concentrations of 7.8 × 1013, 5.6 × 1013 and 4.7 × 1013cm?2, respectively. Carrier concentration profiles of samples implanted at 200°C with 1 × 1014cm?2 of Si agreed reasonably well with LSS theory. Higher doses gave rise to substantial diffusion of the implanted Si, whereas room temperature implants showed poor activation near the surface.  相似文献   

8.
The behavior of arsenic for p-type doping of MBE HgCdTe layers has been studied for various annealing temperatures and arsenic doping concentrations. We have demonstrated that arsenic is in-situ incorporated into HgCdTe layers during MBE growth. The carrier concentration has been measured by the Van der Pauw technique, and the total arsenic concentration has been determined by secondary ion mass spectroscopy. After annealing at 250°C under an Hg over pressure, As-doped HgCdTe layers show highly compensated n-type properties and the carrier concentration is approximately constant (∼mid 1015 cm−3) until the total arsenic concentration in the HgCdTe layers approach mid 1017 cm−3. The source of n-type behavior does not appear to be associated with arsenic dopants, such as arsenic atoms occupying Hg vacancy sites, but rather unidentified structural defects acting as donors. When the total arsenic concentration is above mid 1017 cm−3, the carrier concentration shows a dependence on the arsenic concentration while remaining n-type. We conjecture that the increase in n-type behavior may be due to donor arsenic tetramers or donor tetramer clusters. Above a total arsenic concentration of 1∼2×1018 cm−3, after annealing at 300°C, the arsenic acceptor activation ratio rapidly decreases below 100% with increasing arsenic concentration and is smaller than that after annealing at 450°C. The electrically inactive arsenic is inferred to be in the form of neutral arsenic tetramer clusters incorporated during the MBE growth. Annealing at 450°C appears to supply enough thermal energy to break some of the bonds of neutral arsenic tetramer clusters so that the separated arsenic atoms could occupy Te sites and behave as acceptors. However, the number of arsenic atoms on Te sites is saturated at ∼2×1018 cm−3, possibly due to a limitation of its solid solubility in HgCdTe.  相似文献   

9.
Comprehensive and systematic electrical and optical activation studies of Si-implanted GaN were made as a function of ion dose and anneal temperature. Silicon ions were implanted at 200 keV with doses ranging from 1×1013 cm?2 to 5×1015 cm?2 at room temperature. The samples were proximity-cap annealed from 1050°C to 1350°C with a 500-Å-thick AlN cap in a nitrogen environment. The optimum anneal temperature for high dose implanted samples is approximately 1350°C, exhibiting nearly 100% electrical activation efficiency. For low dose (≤5×1014 cm?2) samples, the electrical activation efficiencies continue to increase with an anneal temperature through 1350°C. Consistent with the electrical results, the photoluminescence (PL) measurements show excellent implantation damage recovery after annealing the samples at 1350°C for 20 sec, exhibiting a sharp neutral-donor-bound exciton peak along with a sharp donor-acceptor pair peak. The mobilities increase with anneal temperature, and the highest mobility obtained is 250 cm2/Vs. The results also indicate that the AlN cap protected the implanted GaN layer during high-temperature annealing without creating significant anneal-induced damage.  相似文献   

10.
Electrical and optical activation studies of lower dose Si-implanted AlxGa1?xN (x=0.14 and 0.24) have been made systematically as a function of ion dose and anneal temperature. Silicon ions were implanted at 200 keV with doses ranging from 1×1013 cm?2 to 1×1014 cm?2 at room temperature. The samples were proximity cap annealed from 1,100°C to 1,350°C with a 500-Å-thick AlN cap in a nitrogen environment. Nearly 100% electrical activation efficiency was obtained for Al0.24Ga0.76N implanted with a dose of 1 × 1014 cm?2 after annealing at an optimum temperature around 1,300°C, whereas for lower dose (≤5×1013 cm?2) implanted Al0.24Ga0.76N samples, the electrical activation efficiencies continue to increase with anneal temperature up through 1,350°C. Seventy-six percent electrical activation efficiency was obtained for Al0.14Ga0.86N implanted with a dose of 1 × 1014 cm?2 at an optimum anneal temperature of around 1,250°C. The highest mobilities obtained were 89 cm2/Vs and 76 cm2/Vs for the Al0.14Ga0.86N and Al0.24Ga0.76N, respectively. Consistent with the electrical results, the photoluminescence (PL) intensity of the donor-bound exciton peak increases as the anneal temperature increases from 1,100°C to 1,250°C, indicating an increased implantation damage recovery with anneal temperature.  相似文献   

11.
It is shown for the first time that antimony-implanted silicon produces the highest electrical activation (90%) with low resistivity (<200 ohms/square) following low-temperature processing. Thus, annealing at 650°C produces the best results for antimony, whereas for arsenic, it is necessary to anneal at temperatures above 1000°C to get optimum results. Silicon was implanted with antimony at 12 keV and 40 keV and doses of 8.5×1014 cm−2 and 4×1014 cm−2, respectively, and arsenic at equivalent energies and doses. The electrical data from both implants are compared in order to identify the process conditions require to obtain optimum results. It is demonstrated that annealing below 800°C produces electrical profiles with no measurable diffusion of the antimony, but higher temperature anneals produce significant diffusional broadening.  相似文献   

12.
Electronic properties of interface and oxide layers of Si MOS diodes with an r.f. sputtered molybdenum metal electrodes have been studied. A remarkable density increase of both oxide charge and interface states by the sputtering has been found. IMA data show that the sputtered Mo atoms are implanted into the SiO2 less than 100 Å from the surface. It is also found from photo I–V data that the charge centroid in the SiO2 is shifted to a depth of 100 Å below the SiO2 surface. The energy distribution of the carrier trapping centers having a capture cross section of the order of 10?13 to 10?16 cm2 has been observed. The interface states density can be reduced about one order of magnitude by an annealing in N2 10 min/H2 15 min/N2 10 min at 450°C. The mechanism of the increase of the carrier trapping centers and the interface states is also studied. Especially it is concluded that the increase of the interface states originates from the ultraviolet-light irradiation emitted from the plasma during the r.f. sputtering.  相似文献   

13.
The electrical conduction properties of ion implanted polycrystalline silicon films have been studied. The polysilicon films were deposited by pyrolysis of silane at 647°C in LPCVD system onto oxide-coated silicon wafers to a thickness of 0.6 μm. Dopants were itroducd by implanting with boron or phosphorus ions, accelerated to 145 keV; doses ranged from 1 × 1012 cm?2 to 1 × 1015 cm?2. Film resistivities spanning 8 orders of magnitude were obtained using this doping range. Current-voltage characteristics of polysilicon resistors were measured at temperatures ranging from 24 to 140°C. The associated barrier heights and activation energies were derived. The grain-boundary trapping states density was estimated to be 5 × 1012 cm?2. We found that both dopant atom segregation and carrier trapping at the grain boundaries play important roles in polysilicon electrical conduction properties. However, within the dose range studies, the dopant atom segragation is most detrimental to the film conductivity for doses < 1 × 1013 cm?2; as the dose is increased, carrier trapping effects become more pronounced for doses up to 5 × 1014 cm?2. For doses ? 5 × 1014 cm?2, conduction due to carriers tunneling through the potential barriers at grain boundaries has to be considered.  相似文献   

14.
Crystalline Si implanted with the 380-keV cobalt ions with the dose Φ = 1014?1016 cm?2 is studied. The method of Rutherford backscattering is used to determine the Si amorphization threshold (Φ = 3 × 1014 cm?2). A quasi-resonance anisotropic line with a width of approximately 170 mT is observed at a temperature of T = 78 K in the spectrum of the electron spin resonance of silicon implanted with Co+ ions with Φ ≥ 3 × 1014) cm?2. A resonance signal of paramagnetic centers in amorphous Si regions (g = 2.0057 and δB = 0.74 mT) is observed against the background of the above line. A quasi-resonance line of the electron spin resonance related to Co atoms and intrinsic Si defects was not observed at T = 300 K.  相似文献   

15.
An impurity concentration and temperature dependent model of the impurity band is presented for moderately doped semiconductors. This model is then applied to explain the freezing-out MOS C-V characteristics of 1·98 × 1018 cm?3p-silicon. The results show that the shape of the impurity band and its temperature dependence significantly affect the shape of the C-V curve and its temperature dependence.  相似文献   

16.
A detailed investigation has been made by the MOS capacitance method, into the mechanism by which the fixed positive surface state charge, due to silicon rich oxide near SiSiO2 interface, is controlled by O+ implantation into the oxide near the SiSiO2 interface, and subsequent heat treatment. High dosage implantation of 3 × 1013 O+ ions cm?2 results in damage in oxide which is occured by 450°C annealing. However, low dosage implantation of 3 × 10?2 produces no detectable damage in the oxide, and increases the effective positive charge in the oxide at Si'SiO2 interface. It is shown that prolonged 450°C heat treatment of 0+ ion implanted oxides results in an oxygen-silicon reaction in the silicon enriched oxide layer and reduces the fixed positive surface state charge. Subsequent heat treatments at 838°C increase the positive surface state charge to the original pre-ion implantation values, hence converting the oxide into the original silicon rich condition.  相似文献   

17.
Twenty-five kiloelectronvolt Si+ ions with doses of (1–4)×1016 cm?2 and 13-keV N+ ions with doses of (0.2–2)×1016 cm?2 were implanted into SiO2 layers, which were then annealed at 900–1100°C to form luminescent silicon nanoprecipitates. The effect of nitrogen on this process was deduced from the behavior of the photoluminescence spectra. It was found, for a certain ratio between the concentrations of implanted silicon and nitrogen, that the photoluminescence intensity increases significantly, and its peak shifts to shorter wavelengths. It is concluded that the number of precipitation nuclei increases owing to the interaction of nitrogen with excess silicon. Eventually, this results in an increase in the number of nanocrystals and in a decrease in their average sizes. In spite of introducing additional precipitation nuclei, the minimal concentrations of excess Si on the order of 1021 cm?3 and heat treatments at temperatures higher than 1000°C were still required for the formation of nanocrystals.  相似文献   

18.
An arseno silica glass film applied in liquid form is used as doping source for high concentrated, less than one micron deep, arsenic diffusions into silicon. The influence of source concentration, source thickness and diffusion ambient on the resulting diffusion properties is reported. Electrically active As surface concentrations of 1·7 × 1020 cm?3 at 1000°C were achieved. This represents about 60 per cent of the total As concentration. A diffused As layer is at a quasi equilibrium only, the amount of electrically active As can be changed by heat treatments. The diffusion coefficient of As shows a 50-fold increase, when the dopant concentration rises from 5 × 1019 cm?3 to its maximum value of about 2 × 1020 cm?3. D increases about linearly with electron concentration. The results reported are consistent with models outlined recently: Diffusion via negatively charged vacancies and As clustering at low temperature treatments.  相似文献   

19.
The electrical properties of metal-insulator-semiconductor structures based on InAs(111)A with thin anodic insulator layers of various thicknesses (7–20 nm) are investigated. It is established that the oxidation of InAs in a fluorinated acid electrolyte results in decreasing density of surface states and fixed charge in the anodic layer to values of < 2 × 1010 cm?2 eV?1 and ~3 × 1011 cm?2, respectively. Comparison of the electrical parameters with the chemical composition of the layers shows that an improvement in the parameters of the fluorinated anodic oxide/InAs(111)A interface is caused by the substitution of oxygen atoms for fluorine in the anode layers with the formation of indium and arsenic oxifluorides and In-F bonds on the InAs surface.  相似文献   

20.
It is shown that the slow-trapping instability upon negative bias-temperature aging of MOS structures (poly-Si and Al-gate) can be significantly reduced by a high temperature (800–900°C) H2-anneal prior to Al metallization. Additional data are presented on the effects of high (700–900°C) and low temperature (450°C) H2 annealing of MOS structures containing n-(111) Si, dry HCl oxide and B-doped poly-Si. The midgap Nss (range: 8×1011 to 1.5×1010 cm?2 eV?1) is reduced by both high and low temperature H2 anneals whereas the Qss (range: 7×1011 to 1×1011 cm?2 eV?1) is reduced mainly by the high-temperature H2-anneals. Presence of B near the interface is believed to cause an abnormal voltage asymmetry of the slow-trapping drift, i.e. ΔVFB(-BT) < ΔVFB(+BT). These effects are discussed in the light of Deal's model of the structure of the Si/SiO2 interface.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号