首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
We have represented a compressed carbon dioxide (CO2) assisted nanoimprint lithography (CO2-NIL) technique using a fluoropolymer mold. Glass transition temperature (Tg) of polymethylmethacrylate (PMMA) under the compressed-CO2 is apparently depressed by the absorption of CO2, and the plasticized PMMS has enough mobility to rise into the groove of fluoropolymer mold. As a result, the 100 nm level of submicron features are uniformly fabricated on the polymer surface over a large area, and the use of fluoropolymer mold having a low interfacial energy assures the clean release from the patterned surface without a release agent. Scanning electron microscopy (SEM) and atomic force microscopy (AFM) observation confirm these results. CO2-NIL process could fabricate micro/nano-patterns without thermal cycle, high pressure and anti-adhesion layer compared to conventional NIL.  相似文献   

2.
《III》2005,18(4):21
  相似文献   

3.
Optical lithography   总被引:3,自引:0,他引:3  
This is the first in a series of papers describing a theoretical process model for positive photoresist. This model, based upon a set of measurable parameters, can be used to calculate the response of photoresist to exposure and development in terms of image surface profiles. The model and its parameters are useful in many ways, from measuring quantitative differences between different resist materials to establishment of process sensitivities and optimization of the resist process within a manufacturing system. In this paper, the concepts of photoresist modeling are introduced by following the exposure and development of a photoresist film on silicon exposed by a uniform monochromatic light flux. This very simple example provides insight into the complex nature of the photoresist process for reflective substrates. The accompanying paper, "Characterization of Positive Photoresists," gives detail about measurement of the new photoresist parameters. It is supported by "In-Situ Measurement of Dielectric Thickness During Etching or Developing Processes" which discusses automated experimental techniques needed to establish photoresist development rates. These resist parameters provide a complete quantitative specification of the exposure and development properties of the resist. They also allow quantitative comparisons: lot to lot, material to material, and processing condition to processing condition. The fourth paper, "Modeling Projection Printing of Positive Photoresists," applies the process model to one technique of photoresist exposure. This paper contains the detailed mathematics of the model. The model is then used to calculate line-edge profiles For developed resist images.  相似文献   

4.
We describe a simple resist structure for implementing brushfire lithography (BFL) in electron-beam writing. The outlines of the pattern features are written as narrow deep openings formed in a single layer of positive electron resist. An oblique evaporation of a metal film onto the surface yields outlined electrically isolated metal copies of the features. These can be toned by selective electrically controlled etching. Transfer of the metal pattern to the underlying resist completes the structure.  相似文献   

5.
介绍了纳米结构制作的一种新方法———纳米印刷光刻的基本原理、总体方案。该技术与其它微刻印技术相比,具有成本低、生产效率高、可批量生产、工艺过程简单等优点。介绍了SiC模板的制作方法、用纳米印刷光刻技术制作纳米结构的加工步骤及刻印结果。结果表明该技术可制作特征尺寸小于100nm的图形。本文还展望了其应用于微电子学等领域的前景。  相似文献   

6.
Extreme ultraviolet lithography   总被引:1,自引:0,他引:1  
Current microlithography used in high-volume integrated circuit manufacturing employs some form of optical projection technology. The most advanced tools use deep-ultraviolet (DUV) radiation having a wavelength of 248 nm and are used to print 250-nm features. These tools will likely be extended for use at the 180-nm generation and perhaps below. New DUV tools using 193-nm radiation are actively under development and are expected to be used for 130-nm generation and perhaps even 100-nm generation. Extending these DUV optical projection tools for manufacturing in the 100-200-nm region will be paced by the development of new high numerical aperture imaging systems and highly complex phase shift masks. For future generations of integrated circuits with minimum feature sizes below 100 nm, 193-nm tools will have great difficulty meeting all manufacturing requirements. This paper describes an alternate optical approach, for sub-100-nm generations, based on extreme ultraviolet radiation at around 13 nm, called extreme ultraviolet lithography (EUVL). This approach uses a laser-produced plasma source of radiation, a reflective mask, and a 4× reduction all-reflective imaging system. The technology is currently in the engineering development phase for an alpha machine. This paper reviews its current status and describes the basic modules or building blocks of a generic EUVL exposure tool  相似文献   

7.
Limits of lithography   总被引:1,自引:0,他引:1  
Lithography technology has been one of the key enablers and drivers for the semiconductor industry for the past several decades. Improvements in lithography are responsible for roughly half of the improvement in cost per function in integrated circuit (IC) technology. The underlying reason for the driving force in semiconductor technology has been the ability to keep the cost for printing a silicon wafer roughly constant while dramatically increasing the number of transistors that can be printed per chip. ICs have always been printed optically with improvements in lens and imaging material technology along with decreases in wavelength used fueling the steady improvement of lithography technology. The end of optical lithography technology has been predicted by many and for many years. Many technologies have been proposed and developed to improve on the performance of optical lithography, but so far none has succeeded. This has been true largely because it has always been more economical to push incremental improvements in the existing optical technology rather than displace it with a new one. At some point in time, the costs for pushing optical lithography technology beyond previously conceived limits may exceed the cost of introducing new technologies. In this paper the author examines the limits of lithography and possible future technologies from both a technical and economic point of view  相似文献   

8.
热压印刻蚀技术   总被引:5,自引:0,他引:5  
纳米压印刻蚀技术是通过压模的方法实现纳米结构批量复制的。这一技术具有高分辨、高效率和低成本的优点。它与现行的光学刻蚀技术流程相似,具有较好的兼容性与继承性。详细介绍了热压印刻蚀技术的核心工艺步骤:压印模板的制备、热压印胶的选择、压模和撤模、反应离子刻蚀以及热压印过程中的聚合物流动机理,探讨了热压印刻蚀技术中的基础科学问题。还分析了纳米压印刻蚀技术的研究现状,展望了纳米压印刻蚀技术的应用前景。  相似文献   

9.
《Spectrum, IEEE》1992,29(6):33-36
A viable alternative to synchrotron-based fabrication lines is discussed. These are collimated point X-ray sources that will cost a fraction of the price of synchrotrons. The two basic configurations for X-ray lithography-proximity and projection-are explained. Two basic methods for collimating the sources are described, and the advantages and disadvantages of each are examined  相似文献   

10.
Modern maskless photolithography systems based on using spatial light modulators are analyzed in this review. Principles of construction, examples of implementation of systems, as well as factors limiting their spatial resolution are discussed.  相似文献   

11.
X-rays from laser-heated plasmas were used to replicate features as fine as 750 nm in the positive resist polybutene-1-sulfone (p.b.s.). The measured sensitivities of p.b.s. to pulsed and d.c. X-rays (? 109 ratio in exposure rate) are similar (no reciprocity loss). Laser-plasma X-rays produced only small (0.25 V) flat-band shifts in m.o.s. capacitors at irradiation levels sufficient to expose p.b.s.  相似文献   

12.
Factors such as minimum resolution overlay tolerances and maximum topography are key elements of IC fabrication. Circuit designers must understand the techniques lithographers use in manufacturing ICs. An overview of the resist work performed at SEMATECH, including resist characterization, process control, and modeling, and a look at future lithography trends are presented. Swing curve, exposure/focus latitude, contrast, reflective notching, development rate, and plasma and thermal resistance measurement procedures for performing resist evaluations are discussed  相似文献   

13.
Current capabilities and advances in optical electron-beam, X-ray, and ion-beam lithography are discussed. Attention is restricted to the creation of the resist pattern. Equipment, resists, and processing are examined  相似文献   

14.
In this paper, a new hybrid method to fabricate submicron photon sieve is proposed, where the E-beam lithography and the X-ray lithography are used. It is found that 2.8 μm thickness of the polyimide film, 400 nm thickness of the ZEP-520 and 280 μC/cm2 exposure dose are good for E-beam lithography, while 500 nm thickness of the PMMA and 30 s developing time are good for X-ray lithography. We have successfully fabricated the photon sieve with these parameters (the diameter of photon sieve: 250 μm, the focal length: 150 μm, the diameter of the outmost pinhole: 420 nm). Some key techniques of this method are analyzed respectively, and the error analysis are done at the end of this paper. It provides a direction of nanoscale optical element fabrication with higher resolution and lower cost.  相似文献   

15.
16.
The revival in optical lithography resulting from continuing advances in photoresists, phase-shifting masks, high-numerical-aperture step-and-repeat optical systems, multilevel-resist processing, and top-surface imaging techniques is discussed. Optical lithography is being used to make advanced IC chips, with 0.35 μm geometries in research, 0.5 μm in production. Ultraviolet (UV) light in the 200-400 nm range is the predominant system for IC manufacturing technology. Deep-UV lithography is not yet accepted for production processes, mostly due to the lack of commercially available positive and negative-tone photoresist systems for deep-UV wavelengths. In addition, negative-tone resists are temperature-sensitive and therefore hard to handle in a manufacturing environment, extensive gas-handling facilities are required for deep-UV excimer laser sources, and optical components have to be replaced often because the intense laser energy devitrifies lenses quickly  相似文献   

17.
Resists are radiation-sensitive materials used in the fabrication of integrated circuits (VLSI) for imaging the desired pattern onto the silicon wafer. Most resists in use today consist of polymeric solutions that are spin-coated onto the silicon wafer, exposed in a lithographic tool, developed, and completely removed after the pattern has been transferred to the substrate. This paper presents a historical development of resist materials, present uses of resists, and future requirements, dictated primarily by developments in lithographic tools.  相似文献   

18.
半导体器件与集成电路的不断小型化要求特征尺寸越来越小 ,极端远紫外光刻是 5种下一代光刻技术候选者之一 ,它的目标是瞄准 70纳米及 70纳米以下的特征尺寸光刻。本文从极端远紫外光源、极端远紫外光学系统、反射掩模、光刻胶、光刻机等方面对极端远紫外光刻技术进行了分析论述 ,并且对它的应用前景进行了简要分析  相似文献   

19.
We have demonstrated a nanopattterning technique that combines the use of sacrificial film and nanoimprint lithography. The sacrificial film serves as a ‘transient substrate’ during the nanoimprinting steps. The use of a sacrificial film improves the patterning yield significantly because the de-molding is achieved by etching off the sacrificial film, instead of a mechanical de-molding as in conventional nanoimprint lithography. This patterning technique is an easy method to build up multilayer structure from a single type of polymer. The method is also highly versatile; both substrate supported and freestanding nanostructures can be easily achieved by this technique.  相似文献   

20.
A brief review is given of approaches to lithography for microdevice fabrication. Exposure systems in common use, as well as those in development, are described and assessed. These include contact and proximity printing, stationary and scanning projection printing, step-and-repeat reduction printing, scanning electron beam systems, electron imaging systems, and X-ray systems.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号