首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 349 毫秒
1.
《Microelectronic Engineering》2007,84(5-8):700-704
An evaluation of hydrogen silsesquioxane (HSQ) for EUV lithography is presented. The effects of bake temperature and developer concentration on the ultimate resolution, sensitivity and contrast are investigated. It is demonstrated that HSQ as a negative-tone photoresist provides patterns with half-pitches as small as 20 nm with EUV interference lithography. SEM micrographs show that the low line-edge roughness of the patterns is accompanied with the high-quality cross-sectional profiles. This high resolution and pattern quality are achieved through development in high-concentration developers for long development times, which is in line with previous results obtained with e-beam lithography.  相似文献   

2.
A new method for the measurement of acid diffusion in chemically amplified resists is introduced. It is based on the measurement of the diameter of lithographic features (pillars for negative resists) obtained from single pixel e-beam exposures to determine the proximity function in a wide range of doses and PEB times. The method is applied in the measurement of the diffusion coefficients of two negative chemically amplified resists, the commercial resist SAL-601 (Shipley) and a prototype epoxy novolac based resist (EPR) developed at IMEL. The method directly provides proximity effect correction parameters for chemically amplified resists.  相似文献   

3.
In the frame of the European project MAGIC, a massively multibeam tool working at 5 kV is under installation in LETI premises. Because of its high resolution capability and suitable high exposure dose, hydrogen silsesquioxane (HSQ) is a good candidate for evaluating tool performances. In order to prepare low voltage exposures on HSQ, we studied three process parameters that are post application bake (PAB) temperature, tetramethylammonium hydroxide (TMAH) based developer concentration and beam acceleration voltage, down to 5 kV. We combined those experiments with physico-chemical characterization in order to better understand resist reactions leading to measured contrast and base dose variations.As a starting point, we achieved 10 nm lines with a pitch of 60 nm at 100 kV. Thus we validated the high contrast capability of HSQ with our process parameters, with automatic coating and development track. By modifying PAB temperature and developer concentration, we found a suitable resist process for low voltage exposure. With proper process conditions, high resolution is achievable at 5 kV, with a lower exposure dose than at higher voltages.  相似文献   

4.
纳米级精细线条图形的微细加工   总被引:1,自引:0,他引:1  
任黎明  王文平  陈宝钦  周毅  黄如  张兴 《半导体学报》2004,25(12):1722-1725
对分辨率极高的电子束光刻技术和高选择比、高各向异性度的ICP刻蚀技术进行了研究,形成一套以负性化学放大胶SAL-601为电子抗蚀剂的电子束光刻及ICP刻蚀的优化工艺参数,并利用这些优化参数结合电子束邻近效应校正等技术制备出剖面形貌较为清晰的30nm精细线条图形.  相似文献   

5.
The use of AZ 1350 family photoresists as negative electron resists is described. Conventional photolithographic technology is used to coat and process the resist, with the exception of an e-beam exposure for patterning. A flood UV exposure is used for image reversal. Using 1.5 µm initial thickness, the exposure threshold for 6 s development in 1 : 1 AZ : H2O developer is 7 µC/cm2. The resist contrast under these conditions is 1.3; and the sensitivity is about 25 µC/cm2(70% thickness remaining). Useful resolution on SiO2/Si and Al/SiO2/Si substrates is demonstrated to be at least 0.5 µm, and the resist is shown to mask the plasma etching of Al.  相似文献   

6.
This work focuses on the effect of resist thickness on resolution performance of hydrogen silsesquioxane (HSQ) electron beam resist. Contrast, sensitivity, surface morphology and resolution of the formed structures were found to be substantially dependent on film thickness. Method of resist drying in vacuum at room temperature was found to reduce surface roughness of ultra thin HSQ films compared to hot plate drying at 90 °C in air. Results of Monte Carlo simulations of the exposure process are in good agreement with proposed mechanism of sensitivity loss and structure linewidth broadening with increase of resist thickness.  相似文献   

7.
Russian Microelectronics - A study was made of the dependence of the contrast value of a negative electron resist based on hydrogen-silsesquioxane (HSQ) in the process of the development of...  相似文献   

8.
作为一种非化学放大的无机负性电子束光刺抗蚀剂,HSQ(hydrogen silsesquioxane)具有极高的分辨率(约5 nm),由于灵敏度较低,限制了其在微纳米加工方面的应用.从化学结构变化的角度分析了HSQ在电子束曝光中的性能,通过实验验证了其灵敏度及对比度受前烘温度及显影液浓度的影响较大,并且其在电子束曝光中的邻近效应也可以通过改变这两个条件而得到一定的抑制.根据所得优化工艺条件,在450 nm胶层上,制作出100 nm等间距光栅结构胶层图形,且其侧壁陡直性良好.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):822-824
Hydrogen silsesquioxane (HSQ) is a high-resolution negative-tone inorganic resist with an established resolution below 10 nm. Using 100 keV electron beam lithography, we report the achievement of isolated 6 nm wide lines in 20 nm thick HSQ layers on silicon substrates. We also achieved 10 nm lines and spaces in a 10 nm HSQ layer. This is the smallest pitch (20 nm) achieved to date using HSQ resist. Experiments in order to investigate the effect of KOH based developer on ultimate resolution have been also performed and resulted in 7 nm wide lines. These results, in combination with the good etching resistance of HSQ, prove the versatility of HSQ for nanolithography.  相似文献   

10.
This article studied the resistance of the negative electron resist based on hydrogen-silsesquioxane (HSQ) depending on the dose of exposure in the process of Reactive Ion Etching (RIE). These studies showed the strong dependence of resistance on irradiation dose (in case of full development of the e-beam resist) even after annealing the resist 30 minutes 400°C in air. Selectivity up to 14 was obtained in the process of reactive ion etching of silicon in a mixture of gases SF6: C4F8. These results can be used to manufacturing of silicon nanoscale structures. It was shown that the resistance to wet etching in a 5% solution of hydrofluoric acid (HF) is also determined by irradiation dose. Additionally, taking into account the obtained results, silicon nanowires of width 10 nm with an aspect ratio of 1: 10 was manufactured.  相似文献   

11.
A T-shaped gate fabrication process has been developed based on a triple-layer resist system with gate cross section control by resist developer formulation. The new procedure allows a conventional e-beam exposure and single develop step to accomplish what requires position dependent e-beam doses or multiple exposures and multiple develop steps in other processes. General considerations in developer selection are discussed. For the process conditions used in this study, gate lengths from 80 to 280 nm were obtained from doses from 250 to 350 μC/cm2 with 20-keV electrons. Initial results on RF performance for a 1.2-mm periphery power FET are given  相似文献   

12.
The non-chemically amplified negative resist ARN 7520 produced by ALLRESIST GmbH shows excellent suitability for fabricating stamps for nanoimprinting with a pitch resolution as high as 70 nm and dense periodical structures using e-beam lithography and dry etching. Due to its chemical formulation, the resist does not swell in the developer, has good sensitivity and contrast. The adhesion of such class of resists is provided by silane containing promoters used before the spin-coating. However, for the lower exposure doses, the bonding of the nanostructures to the surface is still insufficient. Instead of the promoter, we evaporate 3–5 nm Ti layer before the resist spin-coating. This strongly improves the resist adhesion in a wide range of exposure doses, suppresses the influence of the substrate conductivity on the electron beam lithography parameters and also improves the structure profile during dry etching. Reducing ion voltage from 400 to 200 V midway through dry etching also helps to keep the structure walls more vertical. Silicon stamps with lines and spacings of 70–100 nm periods and an area of 3 × 3 mm2 have been successfully fabricated.  相似文献   

13.
Nanoimprint lithography (NIL) is a promising candidate technology to fabricate patterned media for the next generation hard disk drives (HDD). The requirement of pattern pitch for the HDD or discrete-track recording (DTR) media will be as small as from 40 to 50 nm by 2011 or 2012. However not only to create such fine pitch but also long e-beam writing time such as 1 week with conventional high resolution resist ZEP520A are critical. This paper addresses the fabrication processes to combine silicon substrate and a new chemically amplified resist (CAR) for the master molds of this NIL. The e-beam writing speed with this new CAR was achieved over 3-times faster while 50 nm fine DTR patterns were demonstrated with rotary stage e-beam writer. Furthermore, the replication with J-FIL from the master mold into quartz working mold was also demonstrated.  相似文献   

14.
We present the fabrication of large nanodot arrays with very small single dot sizes made of different metals and on different substrates using e-beam lithography and lift-off techniques. Nanodot arrays have a high potential for bioanalytical applications aiming towards single molecule detection. In addition, they can be used as well defined models of heterogeneous catalysts. For this purpose an independent control of the dot size and the distance between the dots is necessary. This is a limitation for many fabrication techniques, which can be overcome by e-beam lithography. However, aiming for the dot size of few tens of nanometers, we observed a strong influence of the beam focusing and astigmatism on the quality of the fabricated nanodot arrays. A significant improvement, i.e. reduction of defect density as well as better control of the dot size, was achieved by modification of the fine focus and stigmation system of the used e-beam writer. Using lift-off technique, we successfully fabricated platinum dot arrays on glassy carbon with target dot diameter ranging from 25 to 35 nm. By applying additional annealing step, we could fabricate nanodot arrays made of gold on SiO2 with very small dot sizes down to 6 nm and pitch of 100 nm. Furthermore, the generation of large area arrays of nanosized pillars was demonstrated using the same exposure strategy in a negative tone HSQ resist.  相似文献   

15.
A method is described in which the tapered features that are inherent to nanoimprint lithography are inverted to allow successful lift-off. A mold of the relief is created by in-filling the imprinted resist with hydrogen silsesquioxane (HSQ) before selectively removing the resist with O2 plasma. Nanoscale etch masks have been created by lift-off from the negative HSQ profile and used to create high-aspect-ratio structures in materials that are hard to plasma etch.  相似文献   

16.
A photosensitive composition, consisting of an aromatic azide compound (3,3'-diazidodiphenyl sulfone) and a phenolic resin (poly(p-vinylphenol)), called MRS-1, has been prepared and evaluated as a negative deep UV resist for high resolution lithography. Solubility of MRS-1 in an aqueous alkaline developer decreases upon exposure to deep UV radiation. The alkaline developer removes the unexposed areas of MRS-1 by an etching-type development process. No swelling-induced pattern deformation occurs, and images of submicrometer resolution are obtained. The resist is approximately two orders of magnitude more sensitive than PMMA(polymethyl methacrylate). The exposure time of 5 s is sufficient for deep UV contact printing using a 500-W Xe-Hg lamp. The resistance to dry etching of MRS-1 is comparable to that of conventional positive photoresists based on phenolic resin.  相似文献   

17.
The performance characteristics of three different diazotype positive photoresists such as Shipley AZ2400, Kodak 809, and Polychrome PC129, are compared after optical exposure and electron-beam exposure. The development rates for both e-beam and optically exposed resists are measured by an in-situ automated technique using the IBM Film Thickness Analyzer. The optical exposure parameters are obtained at three wavelengths (4358, 4047, and 3650) by computer-controlled transmission measurements. The optical exposure and development parameters permit direct quantitative comparisons for these photoresists. The development rates of e-beam and optically exposed resists are compared. Also a comparison of e-beam sensitivity between the three resist systems is made by studying the resist profile shape after development in the scanning-electron microscope (SEM).  相似文献   

18.
Zwitter polymers are defined as polymers that undergo transformation from a linear to a crosslinked structure under electron‐beam irradiation. A resist polymer may be either linear or crosslinked, depending on electron‐beam dosage. The structural transformation of acrylic resin make it suitable for applications in positive and negative resists in the semiconductor field. The contrast ratio and threshold dose both increase with increasing resist thickness for both the positive and negative resists, while the positive resist exhibits better contrast than the negative. The intensity of the characteristic Fourier‐transform infrared absorption band at 1612 cm–1 (vinyl group) is used to explain the phenomena behind these resist transformations. We evaluate the effects of two important processing conditions: the soft baking and post‐exposure baking temperatures. Pattern resolution decreases upon increasing the baking temperature, except for soft baking of the negative resist. The effect of electron dose on the pattern resolution is also discussed in detail for both resists. High electron‐beam exposure does not improve the etching resistance of the resist because of the porous nature of the resist that develops after high‐dosage irradiation.  相似文献   

19.
A lithographic process is described which involves electron-beam exposure of the small geometries of an integrated circuit pattern and optical exposure of the large geometries onto the same resist layer. A single development step produces both electron and optical images. With the use of a diazo-type resist, either positive or negative e-beam images can be obtained, so that suitable selection of the photomask tone allows complete flexibility in the choice of polarity of the composite pattern. Using AZ-2415, e-beam defined features as small as 0.4 µm joining large optically defined pads have been produced in doped polysilicon by plasma etching.  相似文献   

20.
详细研究了ZEP520在Si衬底上的对比度、灵敏度、分辨率,并分析了曝光剂量、抗蚀剂厚度对ZEP520线条和圆孔尺寸的影响;同时还初步研究了ZEP520在GaAs衬底上的曝光工艺。实验结果表明,ZEP520的灵敏度远高于PMMA,在Si和GaAs上用ZEP520能分别制作出100nm和130nm宽的细线条,通过预烘GaAs衬底,可以消除ZEP520中的裂纹,因此用ZEP520制作器件或电路中各种细小凹槽图形是十分有利的。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号