首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
A series of single component chemically amplified molecular resists were made using an onium salt cation core of tris(4-(tert-butoxycarbonyloxy)-3,5-dimethylphenyl)sulfonium (TAS) with five different acid anions: chloride (Cl), hexafluoroantimonate (SbF6), triflate (Tf), nonaflate (Nf), and tosylate (Ts). The counter-ion had a large effect on both the performance and physical characteristics of the resists. TAS–SbF6 and TAS–Tf imaged as positive tone resists with good LER, but suffered from acid diffusion problems which limited resolution. TAS–Ts and TAS–Cl had high water solubility that prevented their use as positive tone resists. TAS–Nf had poor wetting and adhesion that prevented it from being spin-coated into films. Using onium salts as single molecule resists places great restriction on the choice of anion used because of the large effect it has on the properties of the resist. Using extreme ultraviolet lithography, TAS–SbF6, the best performing derivative, was able to resolve 50 nm 1:1 line/space patterns with LER (3σ) of 5.2 nm.  相似文献   

2.
Miniaturization in microelectronic technologies requests a development of new high-performance materials for microlithography with good resolution of the critical dimension. However, the real impact of polymer structure on lithographic performances is not yet well understood to predict the properties of formulated resist. Our approach is the synthesis and characterization of model resists and the understanding of the relationship between material – properties – processes. In this work we present the influence of the polymer’s molecular weight in lithographic profile of the generated patterns. The limits of the polymer’s molecular weight values based on model terpolymers, consisting of methacrylate matrix, for efficient patterning have been identified. Finally, the ineffective sensitivity and dissolution issue of the polymer resists having an average molecular weight of 30 kg/mol was extensively examined and attributed to the molecular weight of the polymer and more precisely to the radius of gyration of the polymer.  相似文献   

3.
Each film preparation technique affects the physical properties of the resulting coating and thus defines its applicability in modern device construction. In this context solvent based spin coated and solvent‐free physical vapor deposited molecular glass photoresist films are systematically investigated for their dissolution behavior, sensitivity, and overall lithographic performance. These investigations demonstrate that the solvent‐free physical vapor deposition leads to a marked increase in sensitivity. This could be explained by the individual molecule by molecule deposition step producing a more homogeneous distribution of the multicomponent resist system, especially the photoacid generator. In addition, this assumption is supported by former published simulations focusing on aggregate formation within thin films. This work demonstrates that the lithographic sensitivity of multicomponent resist system is an intrinsic parameter to investigate molecular material distribution and indicates that the applied film preparation technique is crucial for the corresponding performance and applicability.  相似文献   

4.
Next generation lithography will require next generation resists. Molecular resists, based on small non-polymeric molecules, promise improvements in line width roughness and resolution control for high resolution lithographic patterns. However, these materials are generally not sensitive enough for commercial application. We have investigated the application of a common chemical amplification scheme to molecular resists. The triphenylene derivative C5/C0 (symmetrical 2,6,11-trihydroxy-3,7,11-tris(pentyloxy)triphenylene), mixed with the crosslinker hexamethoxymethyl melamine and the photoacid generator triphenylsulfonium triflate shows a substantial sensitivity enhancement, requiring a dose of only 5 μC/cm2 compared with the pure triphenylene sensitivity of 6500 μC/cm2 at 20 keV. Previous work has indicated that the acid diffusion length of the photoacid generator used here is around 350 nm and that the diffusion length decreases with film thickness. However, in this molecular resist system anomalous levels of acid diffusion were observed, indicating that previous results for polymeric systems may not hold true for these new materials. Initial results indicate that the acid diffusion length in this system may be on the order of microns. Furthermore, there is some evidence that the excessive diffusion is occurring in the surface layers of the resist or at the air: resist interface itself.  相似文献   

5.
Among the different possibilities for sub-40 nm half-pitch devices, double patterning (DP) is one of the most promising candidates. This paper is related to the double imaging approach where the first lithographic step is followed by a resist curing to avoid any degradation of the pattern during the upper-layer resist patterning. In this paper we develop a methodology based on thermal analysis measurements to demonstrate the existence of an optimal curing temperature. The results are in good agreements with the lithographic observations showing that thermal characterization of the resist is a complementary source of information for the DP process. Moreover, we were able to provide valuable information on the evolution of the properties of the resist occurring during the curing step and some directions for next generation curing resists.  相似文献   

6.
A new method for the measurement of acid diffusion in chemically amplified resists is introduced. It is based on the measurement of the diameter of lithographic features (pillars for negative resists) obtained from single pixel e-beam exposures to determine the proximity function in a wide range of doses and PEB times. The method is applied in the measurement of the diffusion coefficients of two negative chemically amplified resists, the commercial resist SAL-601 (Shipley) and a prototype epoxy novolac based resist (EPR) developed at IMEL. The method directly provides proximity effect correction parameters for chemically amplified resists.  相似文献   

7.
Resists are radiation-sensitive materials used in the fabrication of integrated circuits (VLSI) for imaging the desired pattern onto the silicon wafer. Most resists in use today consist of polymeric solutions that are spin-coated onto the silicon wafer, exposed in a lithographic tool, developed, and completely removed after the pattern has been transferred to the substrate. This paper presents a historical development of resist materials, present uses of resists, and future requirements, dictated primarily by developments in lithographic tools.  相似文献   

8.
The effect of high excimer-laser peak powers on the lithographic exposure process is quantitatively examined to investigate the reciprocity behavior of several photoresists. Using the bleaching of an absorption peak as the measure of resist response, it is found that there is no dependence of the resist sensitivity on the peak power of the radiation. Thus the higher UV intensity of excimer lasers can be fully exploited in faster exposures of the resists.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):1066-1070
Molecular resists, such as fullerenes, are of significant interest for next generation lithographies. They utilize small carbon rich molecules, giving the potential for higher resolution and etch durability, together with lower line width roughness than conventional polymeric resists. The main problem with such materials has historically been low sensitivity, but with the successful implementation of chemical amplification schemes for several of the molecular resist families this is becoming less of a concern. Aside from sensitivity the other main obstacle has been the difficulty of preparing good quality thin films of non-polymeric materials. Here we present a study of pinhole defect density in fullerene films as a function of substrate cleanliness, post-application bake, and incorporation of chemical amplification components. Ultrathin (sub 30 nm) films of the previously studied fullerene resist MF03-01, and the polymeric resist PMMA were prepared on hydrogen terminated silicon by spin coating and the density of pinhole defects in the films was studied using atomic force microscopy. It was seen that pinhole density was strongly affected by the quality of the substrates, with the lowest densities found on films spun on freshly cleaned substrates. Aging of the film subsequent to spin coating was seen to have less effect than similar aging of the substrate prior to spin coating. Additionally, the use of a post-application bake significantly degraded the quality of the films. The addition of an epoxy crosslinker for chemical amplification was found to reduce defect density to very low levels.  相似文献   

10.
The electron-beam response of new chemically amplified positive multi-component ARCH-resist family (ARCH and ARCH2) and the suitability of pattern transfer through single layer has been evaluated. The electron-beam lithographic parameters for thicker layers (1–2μm) of these resists and the optimization possibilities of the exposure and etching conditions were investigated as well. Under fixed resist-handling processes, both resists exhibit high sensitivity (< 10μC/cm2) and an enormous high contrast. The study includes the effects of resist-process variations on the global 3-D resist-relief structure. Vertical side-walls of the resist profile is a necessary condition for a successful deep-, and a good CD-controlled anizotropical pattern transfer with high aspect ratio of structures into the substrate.  相似文献   

11.
In order to better understand the effects of PAG distribution homogeneity on LER, a mesoscale kinetic Monte Carlo simulation of molecular resists was developed. PAG distribution was controlled by creating random aggregates of PAGs with different sizes. Many common experimentally found defects were recreated in the model simply by increasing the amount of PAG aggregation. LER increases with increasing PAG aggregation for resists with short photoacid diffusion lengths. Increasing the diffusion length helps to smooth out the initial PAG distribution inhomogeneity, but still induces LER through the effect of random diffusion of photoacid outside of the patterned region. PAG aggregation was found to play an important role in LER formation, and affirms that efforts to reduce PAG aggregation and increase PAG distribution homogeneity will likely be critical to meet edge roughness requirements for future ITRS roadmap patterning nodes.  相似文献   

12.
Simulation of lithographic processes uksing novolac/diazonaphthoquinone resists has become widespread over the past 15 years. Several refinements to the original Dill model have been made to account for experimentally observed behavior. Models have also bee extended to cover other resist chemistry, including electron beam resists, chemically amplified resists and surface-imaging approaches. While these models are not yet mature, useful insight to the chemical mechanisms and processing can be gained through their use.  相似文献   

13.
An extreme ultraviolet (EUV) interference lithographic exposure tool was installed at the long undulator beamline in NewSUBARU to evaluate EUV resists for 25 nm node and below. The two-window transmission grating of 40 and 50 nm half pitch (hp) were fabricated with techniques of spattering, electron beam lithography, dry etching and wet etching. hp patterns (20 and 25 nm) of chemically amplified resist (CAR) and non-CAR were successfully replicated using the EUV interference lithographic exposure tool.  相似文献   

14.
Resistive compositions based on ter-copolymers of isobornylacrylate with methylmethacrylate and (meth)acrylic acid, which are synthesized using the controlled radical polymerization with reversible chain transfer, as well as triphenylsulphoniumtriflate as a photo-sensitive catalyst are studied. The effect of the chain-transfer agent, as well as the composition and molecular weight of the copolymer on the sensitivity of the resists to UV radiation (222 nm), is determined. Using the ter-copolymer of tert-butoxycarbonyloxystyrene with methylmethacrylate and methacrylic acid, the dependence of the lithographic properties of the photoresist on the chemical composition of the photoacid generator is analyzed. The plasma-chemical stability of (co)polymer resists of methacrylic series in the plasma of Ar + SF6 is studied.  相似文献   

15.
An experimental set-up based on multiwavelength interferometry, is applied in order to study in-situ the dissolution process of thin resist films. The interference function was the basis for a fitting algorithm, which analyses the experimental data and evaluates the progress of the resist thickness with time. The dissolution of various PMMA molecular weights (15 K, 350 K, 996 K) and resist thicknesses (20-300 nm), in various developers, consisting of mixtures of methyl iso butyl ketone (MIBK), iso propanol (IPA), H2O at various relative concentrations, was studied. Surface dissolution inhibition was shown in unexposed resists with high molecular weights and increased thickness in the case of MIBK-IPA 1-1 and IPA-H2O 7-3 developers. After that the whole dissolution process evolved at a steady rate. Dissolution of thick films proved to be unpredictable showing complex dissolution curves. Low molecular weight resists presented a smooth dissolution curve without dissolution inhibition. Samples exposed with small DUV doses, exhibited dissolution behavior similar to the unexposed cases, whereas high exposure doses, within the lithographically useful range, led to smooth dissolution behavior. In the case of MIBK-IPA 1-3 developer significant swelling was observed.  相似文献   

16.
《Microelectronic Engineering》2007,84(5-8):1084-1087
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving line edge roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.  相似文献   

17.
Ultra-thin (20-100nm) polymethylmethacrylate(PMMA) films prepared by Langmuir-Blodgett techniques have been explored as high resolution electron beam resists. A Hitachi S-450 Scanning Electron Microscope (SEM) has been refitted for a high resolution electron beam exposure system. The lithographic properties and exposure conditions of LB PMMA films were investigated. 0.15μm lines-and-spaces patterns were achieved by using the SEM as the exposure tool. The results demonstrate that the etch resistance of such films is sufficiently good to allow patterning of a 20 nm aluminum film suitable for mask fabrication.  相似文献   

18.
应用LB技术制备了厚度为20100nm的聚甲基丙烯酸甲酯(PMMA)超薄高分辨率电子束抗蚀层。应用改装的日立S-450扫描电子显微镜(SEM),研究了PMMALB膜的曝光特性和刻蚀条件。结果得到线宽0.15m的铝掩模光栅图形,表明此种超薄膜具有良好的分辨率和足够的抗蚀性。  相似文献   

19.
《Microelectronic Engineering》2007,84(5-8):774-778
The tendency to smaller feature sizes is continuing in the microelectronic industry. EBDW is a well introduced method for research and development of semiconductor devices. A tool architecture based on the variable-shaped beam (VSB) principle offers a significant throughput advantage compared to any Gaussian beam system. A VSB column with high resolution is presented in this paper which is capable of being applied down to the 32 nm node and below. Starting with electron-optical simulations the major influences on the resolution are considered: i.e. coulomb interaction, geometrical and chromatic aberrations. State of the art dynamic corrections are applied to the main field deflector. However, the manufacturing accuracy has also to be taken into account. A well balanced design was necessary to ensure the manufacturability of the final lens. Knife edge measurements were executed to verify the resolution enhancement. Exposed resist patterns are the most important results with respect to their practical use in the semiconductor industry. Several resists, CAR as well as conventional ones, positive and negative resists were exposed to get a realistic impression regarding the resolution capability of the new column. It was possible to achieve 14 nm single lines in HSQ resist.  相似文献   

20.
Molecular resists, such as triphenylene derivatives, are small carbon rich molecules, and thus give the potential for higher lithographic resolution and etch durability, and lower line width roughness than traditional polymeric compounds. Their main limitation to date has been poor sensitivity. A new triphenylene derivative molecular resist, with pendant epoxy groups to aid chemically amplified crosslinking, was synthesized and characterized. The sensitivity of the negative tone, pure triphenylene derivative when exposed to an electron beam with energy 20 keV was ~ 6 × 10–4 C cm–2, which increased substantially to ~ 1.5 × 10–5 C cm–2 after chemical amplification (CA) using a cationic photoinitiator. This was further improved, by the addition of a second triphenylene derivative, to ~ 7 × 10–6 C cm–2. The chemically amplified resist demonstrated a high etch durability comparable with the novolac resist SAL 601. Patterns with a minimum feature size of ~ 40 nm were realized in the resist with a 30 keV electron beam.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号