首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
In the frame of the European project MAGIC, a massively multibeam tool working at 5 kV is under installation in LETI premises. Because of its high resolution capability and suitable high exposure dose, hydrogen silsesquioxane (HSQ) is a good candidate for evaluating tool performances. In order to prepare low voltage exposures on HSQ, we studied three process parameters that are post application bake (PAB) temperature, tetramethylammonium hydroxide (TMAH) based developer concentration and beam acceleration voltage, down to 5 kV. We combined those experiments with physico-chemical characterization in order to better understand resist reactions leading to measured contrast and base dose variations.As a starting point, we achieved 10 nm lines with a pitch of 60 nm at 100 kV. Thus we validated the high contrast capability of HSQ with our process parameters, with automatic coating and development track. By modifying PAB temperature and developer concentration, we found a suitable resist process for low voltage exposure. With proper process conditions, high resolution is achievable at 5 kV, with a lower exposure dose than at higher voltages.  相似文献   

2.
In this paper we present a comparative study of two e-Beam Lithography (EBL) processes for Nanoimprinting Lithography (NIL) master mold, i.e. the standard PMMA based EBL Si patterning process and the HSQ process. 20 nm features with minimal sidewall roughness and high uniformity are demonstrated on large surface by using HSQ process. Moreover, to validate this ultra-high resolution HSQ EBL process and to check NIL resolution performances, soft UV-NIL replications were performed using soft hard-PDMS/PDMS bi-layer stamps casted on the HSQ master mold. We demonstrate the replication of sub-20 nm nanodots of high density (pitch 60 nm) with a good uniformity on the whole field area.  相似文献   

3.
《Microelectronic Engineering》2007,84(5-8):1045-1048
The benefits of supercritical resist drying (SRD) technique using carbon dioxide (CO2) are investigated with respect to the resolution of dense patterns and the aspect ratio (AR) of nano-structures in rather thick HSQ layers. For double lines separated by a distance of 50 nm the maximum achievable AR is trebled using SRD processes compared to conventional nitrogen blow. The mechanical stability of resist structures is significantly improved by using SRD.  相似文献   

4.
Ultra-dense nanometer-scale gratings (20 nm pitch) on thin silicon nitride (Si3N4) membrane substrates using hydrogen silsesquioxane (HSQ) resist have been fabricated. Scanning transmission electron microscopy (STEM) and electron energy loss spectroscopy (EELS) were performed to evaluate the pattern quality of the HSQ gratings. The results are compared with HSQ gratings fabricated on silicon substrates.  相似文献   

5.
The non-chemically amplified negative resist ARN 7520 produced by ALLRESIST GmbH shows excellent suitability for fabricating stamps for nanoimprinting with a pitch resolution as high as 70 nm and dense periodical structures using e-beam lithography and dry etching. Due to its chemical formulation, the resist does not swell in the developer, has good sensitivity and contrast. The adhesion of such class of resists is provided by silane containing promoters used before the spin-coating. However, for the lower exposure doses, the bonding of the nanostructures to the surface is still insufficient. Instead of the promoter, we evaporate 3–5 nm Ti layer before the resist spin-coating. This strongly improves the resist adhesion in a wide range of exposure doses, suppresses the influence of the substrate conductivity on the electron beam lithography parameters and also improves the structure profile during dry etching. Reducing ion voltage from 400 to 200 V midway through dry etching also helps to keep the structure walls more vertical. Silicon stamps with lines and spacings of 70–100 nm periods and an area of 3 × 3 mm2 have been successfully fabricated.  相似文献   

6.
《Microelectronic Engineering》2007,84(5-8):1084-1087
We have designed and synthesized a molecular resist material, which has no distribution of the protecting groups and have evaluated its performance as a molecular resist with EB and EUV exposure tool. The molecular resist attained a resolution of sub-45 nm patterning at an exposure dose of 12 mJ/cm2. It was found that controlling the distribution of the protecting groups in a molecular resist material has a great impact on improving line edge roughness (LER). Low LER values of 3.1 nm (inspection length: L = 620 nm) and 3.6 nm (L = 2000 nm) were achieved with this molecular resist using Extreme UltraViolet (EUV) lithography tool.  相似文献   

7.
《Microelectronic Engineering》2007,84(5-8):1096-1099
The behaviour of a new epoxy based resist (mr-EBL 6000.1 XP) as a negative resist for e-beam lithography is presented. We demonstrate that it is possible to define sub-100 nm patterns when irradiating thin (120 nm) layers of resist with a 10 keV electron beam. The dependence of resolution and remaining thickness on electron dose, electron energy and photo acid generator (PAG) content is determined. After the electron beam lithography process, the resist is used as a mask for reactive ion etching. It presents a good etch resistance, that allows transfer of patterns to the substrate with resolution below 100 nm.  相似文献   

8.
Micron length nanowires with varying widths were patterned in half-metallic La2/3Sr1/3MnO3 (LSMO) thin films of different thicknesses, using a thin negative-tone electron beam lithography (EBL) process. Patterns were realized in the high resolution hydrogen silsesquioxane (HSQ) inorganic resist and successfully transferred to the manganite via an energetic argon ion beam etching (IBE). We have obtained wires with widths down to 65 nm and length up to 4 μm that exhibit transport properties comparable with those of unpatterned thin films.  相似文献   

9.
《Microelectronic Engineering》2007,84(5-8):1071-1074
Need for inorganic electron beam resist with higher sensitivity and resolution is indisputable. We have developed such a resist that also shows lower line edge roughness. It is pre-baked at 300 °C. By using 4 kV EB we have delineated 40 nm lines pattern and honeycomb structure Photonic crystal pattern.  相似文献   

10.
《Microelectronic Engineering》2007,84(5-8):853-859
Imprint lithography has been included on the ITRS Lithography Roadmap at the 32 and 22 nm nodes. Step and flash imprint lithography (S-FIL) is a unique method that has been designed from the beginning to enable precise overlay for creating multilevel devices. A photocurable low viscosity monomer is dispensed dropwise to meet the pattern density requirements of the device, thus enabling imprint patterning with a uniform residual layer across a field and across entire wafers. Further, S-FIL provides sub-100 nm feature resolution without the significant expense of multi-element, high quality projection optics or advanced illumination sources. However, since the technology is 1X, it is critical to address the infrastructure associated with the fabrication of templates.This paper addresses steps required to achieve resolution at or below 32 nm. Gaussian-beam writers are now installed in mask shops and are being used to fabricate S-FIL templates. Although the throughput of these systems is low, they can nevertheless be applied towards applications such as unit process development and device prototyping.Resolution improvements were achieved by optimizing the ZEP520A resolution and exposure latitude. Key to the fabrication process was the introduction of thinner resist films and data biasing of the critical features. By employing a resist thickness of 70 nm and by negatively biasing features as much as 18 nm, 28 nm half-pitch imprints were obtained. Further processing improvements show promise for achieving 20 nm half-pitch features on a template.  相似文献   

11.
《Microelectronic Engineering》2007,84(5-8):774-778
The tendency to smaller feature sizes is continuing in the microelectronic industry. EBDW is a well introduced method for research and development of semiconductor devices. A tool architecture based on the variable-shaped beam (VSB) principle offers a significant throughput advantage compared to any Gaussian beam system. A VSB column with high resolution is presented in this paper which is capable of being applied down to the 32 nm node and below. Starting with electron-optical simulations the major influences on the resolution are considered: i.e. coulomb interaction, geometrical and chromatic aberrations. State of the art dynamic corrections are applied to the main field deflector. However, the manufacturing accuracy has also to be taken into account. A well balanced design was necessary to ensure the manufacturability of the final lens. Knife edge measurements were executed to verify the resolution enhancement. Exposed resist patterns are the most important results with respect to their practical use in the semiconductor industry. Several resists, CAR as well as conventional ones, positive and negative resists were exposed to get a realistic impression regarding the resolution capability of the new column. It was possible to achieve 14 nm single lines in HSQ resist.  相似文献   

12.
《Microelectronic Engineering》2007,84(5-8):1049-1053
We designed and synthesized a new partially-protected polyphenol, 25X-MBSA-M, for which the position and number of protected hydroxyl groups have no dispersion, and evaluated the EUV patterning performance of a chemically amplified positive-tone resist based on it. EUV imaging experiments were performed using the high-numerical-aperture (NA = 0.3), small-field EUV exposure tool (HINA) at ASET and coherent illumination (σ = 0.0). Patterning results showed the resolution of the resist to be 28 nm at an EUV exposure dose of 12.2 mJ/cm2, the obtainable aspect ratio to be as high as 2, and the line-edge roughness (LER) to be small, with 3σ being 3.6 nm for 45-nm line-and-space patterns and an inspection length, L, of 2000 nm. In addition, pattern collapse was markedly suppressed.  相似文献   

13.
We propose a method to image inside deep trenches (50 μm) using spray-coated resist and the ASML PAS 5500/100 system with the new functionality multi-step imaging. Multi-step imaging allows extending the focus offset range of the PAS 5500/100 system from ±30 μm to ±200 μm. Isolated trenches and contact holes were both imaged inside the deep trenches and on the surface of the wafer to study the versatility of the new functionality. A resolution of 700 nm in 3 μm thick photoresist, at the bottom of 50 μm deep, 200 μm wide trench, was obtained with this process. Finally, multi-focus exposure that consists in exposing the same image several times at various focus offsets was performed in order to image thick photoresist on high topographic substrates.  相似文献   

14.
《Microelectronic Engineering》2007,84(5-8):690-693
Near-field lithography (NFL) has no fundamental limit such as the diffraction limit of light. However, in order to fabricate resist patterns with hp 32 nm, thorough optimization of various processes are indispensable. Previously, we reported on the use of fine and ultra-thin top-layer resist, and designs and fabrication of our special masks. In this paper, the effect of the total resist thickness on the near-field distribution is analyzed by the finite-difference time domain analyses and compared with our experiments. For the fabrication of hp 32 nm patterns, the total resist thickness as well as the tri-layer resist process are accordingly optimized. By the near-field exposure using an i-line mercury lamp and the dry-etching process for thin top-layer photo-resist, we have successfully fabricated the hp 32 nm resist pattern of 120 nm height.  相似文献   

15.
The extended scalability of Twin Flash memory cells down to 32 nm half pitch is demonstrated in a conventional planar cell layout. Starting with 63 nm line space array and doubling the number of word lines, a cell size of 0.0112 μm2 can be achieved. By dividing available space into 43 nm cell width and 20 nm space between adjacent cells the electrical cell characteristics could be maintained the same as in the previous 63 nm generation. It was found that the proposed aggressive shrinking of the cell spacing in word line direction results in a cross talk of 300 mV when both neighboring cells are programmed to the highest MLC level. The charge cross talk in charge trapping memory (CT) cells is reported for the first time and becomes an issue when cell spacing between Twin Flash and other CT cells as e.g. TANOS approaches the 20 nm mark.  相似文献   

16.
The manufacturing of state-of-the-art electronic devices involves an increasing demand for the accurate determination of ultra-shallow electrical carrier profiles related to the need to monitor the activation of the dopants with reduced thermal budgets. For sub-micron structures (down to 100 nm) a qualified conventional spreading resistance probe system is an attractive tool for the reliable measurement of the resistivity (and carrier) depth variations in silicon due to its high geometrical resolution (nm) and high dynamic range (nine orders of magnitude). The spreading resistance (SR) roadmap for future process development (sub-50 nm profiles), however, shows that there is a need for a significant reduction of the involved contact size and tip separation, a higher depth resolution (sub-nm) and an improved quantification. The recently introduced scanning spreading resistance microscopy technique resolves some of the involved issues such as the smaller contact size (20–50 nm) and the higher geometrical depth resolution (sub-nm) when applied on a bevelled surface. Further developments are, however, needed in the fields of tip configuration, surface preparation and contact modelling to achieve timely all the needs of the SR roadmap. This is expected to lead to a new instrument, the NanoProfiler™, using two small (20–50 nm contact size), closely spaced (250 nm), conductive tips mounted on an atomic force microscope-based system. The NanoProfilerTM setup can easily achieve Angstrom depth resolution and therefore makes the profiling of sub-10 nm structures feasible.  相似文献   

17.
In this work we investigate fabrication issues associated with scaling down the gate length and source drain contact separation of a III–V MOSFET. We used high resolution electron-beam lithography and lift-off for gate and ohmic contact patterning to fabricate gate-last lithographically-aligned MOSFETs. This work considers the effect of variations in resist thickness on gate lengths and also the fabrication of long narrow gaps using electron-beam lithography. The study showed that the effect of resist thickness variation on metal linewidth is insignificant. A difference of around 2–3 nm was found between PtAu linewidths fabricated using 150 and 280 nm thick resist. A VB6 lithography tool was found to be useful for linewidth measurements. We showed that the choice of resist is critical to gap formation, and that PMMA is not well suited to this task.  相似文献   

18.
With an increasing use of emerging patterning technologies such as UV-NIL in biotechnological applications there is at the same time a raising demand for new material for such applications. Here we present a PEG based precursor mixed with a photoinitiator to make it UV sensitive as a new material aimed at biotechnological applications. Using HSQ patterned quartz stamps we observed excellent pattern replication indicating good flow properties of the resist. We were able to obtain imprints with <20 nm residual layer. The PEG based resist has hydrogel properties and it swelling in water was observed by AFM.  相似文献   

19.
In this paper, large area nanoimprint lithography on a trilayer resist stack for the nanofabrication of light polarizer was successfully carried out. Large area gratings with 10 mm × 10 mm area and 300 nm pitch were fabricated. The measurement results indicate that our polarizers exhibit extraordinarily high extinction ratio. It is observed that the extinction ratio is dependent on the wavelength. Theoretical simulation also agreed with our measured results very well. The poor reflective polarization property measured in this work was discussed.  相似文献   

20.
We constructed an extreme ultraviolet microscopy (EUVM) system for actinic mask inspection that consists of Schwarzschild optics and an X-ray zooming tube. This system was used to inspect finished extreme ultraviolet lithography (EUVL) masks and Mo/Si glass substrates. A clear EUVM image of a 300-nm-wide pattern on a 6025 glass mask was obtained. The resolution was estimated to be 50 nm or less from this pattern. Programmed phase defects on the glass substrate were also used for inspection. The EUV microscope was able to resolve a programmed pit defect with a width of 40 nm and a depth of 10 nm and also one with a width of 70 nm and a depth of 2 nm. However, a 75-nm-wide 1.5-nm-deep pit defect was not resolved. Thus, in this study, one critical dimension of a pit defect was estimated to be a depth of 2 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号