首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 46 毫秒
1.
Mechanical elasticity of hexagonal wurtzite GaN nanowires with hexagonal cross sections grown through a vapour-liquid-solid (VLS) method was investigated using a three-point bending method with a digital-pulsed force mode (DPFM) atomic force microscope (AFM). In a diameter range of 57-135?nm, bending deflection and effective stiffness, or spring constant, profiles were recorded over the entire length of end-supported GaN nanowires and compared to the classic elastic beam models. Profiles reveal that the bending behaviour of the smallest nanowire (57.0?nm in diameter) is as a fixed beam, while larger nanowires (89.3-135.0?nm in diameter) all show simple-beam boundary conditions. Diameter dependence on the stiffness and elastic modulus are observed for these GaN nanowires. The GaN nanowire of 57.0?nm diameter displays the lowest stiffness (0.98?N?m(-1)) and the highest elastic modulus (400 ± 15?GPa). But with increasing diameter, elastic modulus decreases, while stiffness increases. Elastic moduli for most tested nanowires range from 218 to 317?GPa, which approaches or meets the literature values for bulk single crystal and GaN nanowires with triangular cross sections from other investigators. The present results together with further tests on plastic and fracture processes will provide fundamental information for the development of GaN nanowire devices.  相似文献   

2.
GaAs nanowires were selectively grown by metal-organic vapour-phase epitaxy within a SiO(2) mask window pattern fabricated on a GaAs(111)B substrate surface. The nanowires were 100-3000?nm in height and 50-300?nm in diameter. The height decreased as the mask window diameter was increased or the growth temperature was increased from 700 to 800?°C. The dependence of the nanowire height on the mask window diameter was compared with a calculation, which indicated that the height was inversely proportional to the mask window diameter. This suggests that the migration of growth species on the nanowire side surface plays a major role. Tetrahedral GaAs grew at an early stage of nanowire growth but became hexagonal as the growth process continued. The calculated change in Gibbs free energy for nucleation growth of the crystals indicated that tetrahedra were energetically more favourable than hexagons. Transmission and scanning electron microscopy analyses of a GaAs nanowire showed that many twins developed along the [Formula: see text] B direction, suggesting that twins had something to do with the evolution of the nanowire shape from tetrahedron to hexagon.  相似文献   

3.
GaN nanowires were grown using chemical vapor deposition with controlled aspect ratio. The catalyst and catalyst-diameter dependent growth kinetics is investigated in detail. We first discuss gold catalyst diameter dependent growth kinetics and subsequently compare with nickel and palladium catalyst. For different diameters of gold catalyst there was hardly any variation in the length of the nanowires but for other catalysts with different diameter a strong length variation of the nanowires was observed. We calculated the critical diameter dependence on adatoms pressure inside the reactor and inside the catalytic particle. This gives an increasing trend in critical diameter as per the order gold, nickel and palladium for the current set of experimental conditions. Based on the critical diameter, with gold and nickel catalyst the nanowire growth was understood to be governed by limited surface diffusion of adatoms and by Gibbs–Thomson effect for the palladium catalyst.  相似文献   

4.
Single-crystalline, one-dimensional semiconductor nanostructures are considered to be one of the critical building blocks for nanoscale optoelectronics. Elucidation of the vapour-liquid-solid growth mechanism has already enabled precise control over nanowire position and size, yet to date, no reports have demonstrated the ability to choose from different crystallographic growth directions of a nanowire array. Control over the nanowire growth direction is extremely desirable, in that anisotropic parameters such as thermal and electrical conductivity, index of refraction, piezoelectric polarization, and bandgap may be used to tune the physical properties of nanowires made from a given material. Here we demonstrate the use of metal-organic chemical vapour deposition (MOCVD) and appropriate substrate selection to control the crystallographic growth directions of high-density arrays of gallium nitride nanowires with distinct geometric and physical properties. Epitaxial growth of wurtzite gallium nitride on (100) gamma-LiAlO(2) and (111) MgO single-crystal substrates resulted in the selective growth of nanowires in the orthogonal [1\[Evec]0] and [001] directions, exhibiting triangular and hexagonal cross-sections and drastically different optical emission. The MOCVD process is entirely compatible with the current GaN thin-film technology, which would lead to easy scale-up and device integration.  相似文献   

5.
We demonstrate the strong influence of strain on the morphology and In content of InGaN insertions in GaN nanowires, in agreement with theoretical predictions which establish that InGaN island nucleation on GaN nanowires may be energetically favorable, depending on In content and nanowire diameter. EDX analyses reveal In inhomogeneities between the successive dots but also along the growth direction within each dot, which is attributed to compositional pulling. Nanometer-resolved cathodoluminescence on single nanowires allowed us to probe the luminescence of single dots, revealing enhanced luminescence from the high In content top part with respect to the lower In content dot base.  相似文献   

6.
Well-aligned ZnO nanowires have been synthesized vertically on In0.2Ga0.8N, GaN, and Al0.25Ga0.75N substrates, using a catalyst-free carbon thermal-reduction vapor phase deposition method for the first time. The as-synthesized nanowires are single crystalline wurtzite structure, and have a growth direction of [0001]. Each nanowire has a smooth surface, and uniform diameter along the growth direction. The average diameter and length of these nanowires are 120-150 nm, and 3-10 )m, respectively. We suggest that the growth mechanism follow a self-catalyzing growth model. Excitonic emission peaked around 385 nm dominates the room-temperature photoluminescence spectra of these nanowires. The room-temperature photoluminescence and Raman scattering spectra show that these nanowires have good optical quality with very less structural defects.  相似文献   

7.
GaN nanowires are synthesized by plasma-assisted molecular beam epitaxy on Si(111) substrates. The strong impact of the cell orientation relative to the substrate on the nanowire morphology is shown. To study the kinetics of growth, thin AlN markers are introduced periodically during NW growth. These markers are observed in single nanowires by transmission electron microscopy, giving access to the chronology of the nanowire formation and to the time evolution of the nanowire morphology. A long delay precedes the beginning of nanowire formation. Then, their elongation proceeds at a constant rate. Later, shells develop on the side-wall facets by ascending growth of layer bunches which first agglomerate at the nanowire foot.  相似文献   

8.
The morphology, structure, and optical properties of gallium nitride (GaN) nanowires grown using metal-organic chemical vapor deposition (MOCVD) on r-plane sapphire using gold and nickel seed particles were investigated. We found that different seed particles result in different growth rates and densities of structural defects in MOCVD-grown GaN nanowires. Ni-seeded GaN nanowires grow faster than Au-seeded ones, and they do not contain the basal plane stacking faults that are observed in Au-seeded GaN nanowires. We propose that stacking fault formation is related to the supersaturation and surface energies in different types of seed particles. Room temperature photoluminescence studies revealed a blue-shifted peak in Au-seeded GaN nanowires compared to the GaN near-bandgap emission. The blue-shifted peak evolves as a function of the growth time and originates from the nanowire base, likely due to strain and Al diffusion from the substrate. Our results demonstrate that seed particle composition has a direct impact on the growth, structure, and optical properties of GaN nanowires and reveal some general requirements for seed particle selection for the growth of compound semiconductor nanowires.  相似文献   

9.
Bottom-up nanostructure assembly has been a central theme of materials synthesis over the past few decades. Semiconductor quantum dots and nanowires provide additional degrees of freedom for charge confinement, strain engineering, and surface sensitivity-properties that are useful to a wide range of solid state optical and electronic technologies. A central challenge is to understand and manipulate nanostructure assembly to reproducibly generate emergent structures with the desired properties. However, progress is hampered due to the interdependence of nucleation and growth phenomena. Here we show that by dynamically adjusting the growth kinetics, it is possible to separate the nucleation and growth processes in spontaneously formed GaN nanowires using a two-step molecular beam epitaxy technique. First, a growth phase diagram for these nanowires is systematically developed, which allows for control of nanowire density over three orders of magnitude. Next, we show that by first nucleating nanowires at a low temperature and then growing them at a higher temperature, height and density can be independently selected while maintaining the target density over long growth times. GaN nanowires prepared using this two-step procedure are overgrown with three-dimensionally layered and topologically complex heterostructures of (GaN/AlN). By adjusting the growth temperature in the second growth step either vertical or coaxial nanowire superlattices can be formed. These results indicate that a two-step method allows access to a variety of kinetics at which nanowire nucleation and adatom mobility are adjustable.  相似文献   

10.
Diameter-dependent electromechanical properties of GaN nanowires   总被引:1,自引:0,他引:1  
The diameter-dependent Young's modulus, E, and quality factor, Q, of GaN nanowires were measured using electromechanical resonance analysis in a transmission electron microscope. E is close to the theoretical bulk value ( approximately 300 GPa) for a large diameter nanowire (d=84 nm) but is significantly smaller for smaller diameters. At room temperature, Q is as high as 2,800 for d=84 nm, significantly greater than what is obtained from micromachined Si resonators of comparable surface-to-volume ratio. This implies significant advantages of smooth-surfaced GaN nanowire resonators for nanoelectromechanical system (NEMS) applications. Two closely spaced resonances are observed and attributed to the low-symmetry triangular cross section of the nanowires.  相似文献   

11.
Henry T  Kim K  Ren Z  Yerino C  Han J  Tang HX 《Nano letters》2007,7(11):3315-3319
We report the growth of horizontally aligned arrays and networks of GaN nanowires (NWs) as resonant components in nanoelectromechanical systems (NEMS). A combination of top-down selective area growth (SAG) and bottom-up vapor-liquid-solid (VLS) synthesis enables flexible fabrication of highly ordered nanowire arrays in situ with no postgrowth dispersion. Mechanical resonance of free-standing nanowires are measured, with quality factors (Q) ranging from 400 to 1000. We obtained a Young's modulus (E) of approximately 338 GPa from an array of NWs with varying diameters and lengths. The measurement allows detection of nanowire motion with a rotating frame and reveals dual fundamental resonant modes in two orthogonal planes. A universal ratio between the resonant frequencies of these two fundamental modes, irrespective of their dimensions, is observed and attributed to an isosceles cross section of GaN NWs.  相似文献   

12.
X.M. Cai  M.H. Xie 《Thin solid films》2006,515(3):984-989
The growth of GaN nanowires from Ga and NH3 sources in the flow of Ar carrier gas using a chemical vapor deposition (CVD) system was systematically studied. The substrates used were Si(111) and Si(100). Fabricated nanowires were characterized by scanning electron microscopy (SEM), transmission electron microscopy (TEM) and energy dispersive X-ray spectroscopy (EDX). We investigated the influence of growth temperature, catalyst used, Ga amount, and the ratio of Ar and NH3 flow rates on the morphology and properties of GaN nanowires. We found that the best results were obtained for a growth temperature of 950 °C. Optimal catalysts were Au and metallic Ni, while the use of nickel nitrate was found to lead to formation of SiOx nanowire bunches in addition to GaN nanowires. For the optimal temperature and catalyst used, the influence of the Ga to N ratio on the nanowire growth was studied. It was found that different types of nanostructures are observed in relatively Ga-rich and in relatively N-rich conditions. Growth mechanisms of different types of nanowires, including the stacked-cone nanowires and the microscale structures formed by lateral growth under N-rich conditions, are discussed.  相似文献   

13.
Li Y  Xiang J  Qian F  Gradecak S  Wu Y  Yan H  Blom DA  Lieber CM 《Nano letters》2006,6(7):1468-1473
We report the rational synthesis of dopant-free GaN/AlN/AlGaN radial nanowire heterostructures and their implementation as high electron mobility transistors (HEMTs). The radial nanowire heterostructures were prepared by sequential shell growth immediately following nanowire elongation using metal-organic chemical vapor deposition (MOCVD). Transmission electron microscopy (TEM) studies reveal that the GaN/AlN/AlGaN radial nanowire heterostructures are dislocation-free single crystals. In addition, the thicknesses and compositions of the individual AlN and AlGaN shells were unambiguously identified using cross-sectional high-angle annular darkfield scanning transmission electron microscopy (HAADF-STEM). Transport measurements carried out on GaN/AlN/AlGaN and GaN nanowires prepared using similar conditions demonstrate the existence of electron gas in the undoped GaN/AlN/AlGaN nanowire heterostructures and also yield an intrinsic electron mobility of 3100 cm(2)/Vs and 21,000 cm(2)/Vs at room temperature and 5 K, respectively, for the heterostructure. Field-effect transistors fabricated with ZrO(2) dielectrics and metal top gates showed excellent gate coupling with near ideal subthreshold slopes of 68 mV/dec, an on/off current ratio of 10(7), and scaled on-current and transconductance values of 500 mA/mm and 420 mS/mm. The ability to control synthetically the electronic properties of nanowires using band structure design in III-nitride radial nanowire heterostructures opens up new opportunities for nanoelectronics and provides a new platform to study the physics of low-dimensional electron gases.  相似文献   

14.
Free-standing epitaxially grown nanowires provide a controlled growth system and an optimal interface to the underlying substrate for advanced optical, electrical, and mechanical nanowire device connections. Nanowires can be grown by vapor-phase epitaxy (VPE) methods such as chemical vapor deposition (CVD) or metal organic VPE (MOVPE). However, VPE of semiconducting nanowires is not compatible with several microfabrication processes due to the high synthesis temperatures and issues such as cross-contamination interfering with the intended microsystem or the VPE process. By selectively heating a small microfabricated heater, growth of nanowires can be achieved locally without heating the entire microsystem, thereby reducing the compatibility problems. The first demonstration of epitaxial growth of silicon nanowires by this method is presented and shows that the microsystem can be used for rapid optimization of VPE conditions. The important issue of the cross-contamination of other parts of the microsystem caused by the local growth of nanowires is also investigated by growth of GaN near previously grown silicon nanowires. The design of the cantilever heaters makes it possible to study the grown nanowires with a transmission electron microscope without sample preparation.  相似文献   

15.
We report on the selective area growth of GaN nanowires (NWs) on nano-patterned Si(111) substrates by metalorganic chemical vapor deposition. The nano-patterns were fabricated by the oxidation of Si followed by the etching process of Au nano-droplets. The size of formed nano-pattern on Si(111) substrate was corresponding to the size of Au nano-droplet, and the diameter of GaN NWs grown was similar to the diameter of fabricated nano-pattern. The interesting phenomenon of using the nano-patterned Si(111) substrates is the formation of very clear substrate surface even after the growth of GaN NWs. However, in the case of GaN NWs grown using Au nano-droplets, there was several nanoparticles including GaN bulk grains on the Si(111) substrates. The smooth surface morphology of nano-patterned Si(111) substrates was attributed to the presence of SiO2 layer which prevents the formation of unnecessary GaN particles during the GaN NW growth. Therefore, we believe that nano-patterning method of Si(111) which was obtained by the oxidation of Si(111) substrate and subsequent Au etching process can be utilized to grow high-quality GaN NWs and its related nano-device applications.  相似文献   

16.
Long ZnO nanowire arrays (> or = 10 microm) were fabricated using the hydrothermal method and the refresh process of the reactant solution. The diameter of the synthesized nanowires was controlled by varying the solution concentration of the seed layers, without reducing their length. The maximum temperature in this process was 95 degrees C and the repeated refresh process at 95 degrees C provided the driving force for the growth of ultralong nanowires by exchanging the reactants. Interestingly, the diameter of the refreshed ZnO nanowires strongly depended on the solution temperature during refresh. The exchange of the reactant solution at the same temperature as the synthesis temperature induced the synthesis of ultralong nanowires and the length of the resultant nanowires can be controlled by varying the repetition number. The illumination of the ultraviolet light induced considerably enhanced current flow in the ultralong nanowires from mid 10(-10) to 10(-7) A at 5 V.  相似文献   

17.
Mg-doped GaN nanowires have been successfully synthesised on Si(1?1?1) substrates by magnetron sputtering through ammoniating Ga2O3/Au thin films, and the effect of ammoniating time on microstructure and morphology were analysed in detail. X-ray diffraction, X-ray photoelectron spectroscopy, scanning electron microscopy, high-resolution transmission electron microscopy and photoluminescence spectrum were carried out to characterise the microstructure, morphology and optical properties of the GaN samples. The results demonstrate that the nanowires after ammonification at 900°C for 15?min are single crystal GaN with a hexagonal wurtzite structure and high crystalline quality, having the size of 50–80?nm in diameter, more than 10 microns in length and good emission properties. The growth direction of this nanowire is parallel to [0?0?1] direction of hexagonal unit cell. Ammoniating time has a great impact on the microstructure, morphology and optical properties of the GaN nanowires.  相似文献   

18.
Single, free-standing GaN nanowires grown by plasma-assisted molecular-beam epitaxy have been investigated with low temperature micro-photoluminescence. The quantitative analysis of the luminescence spectra of around 100 nanowires revealed that each nanowire exhibits its own individual spectrum. A significant fraction of nanowires exclusively emits at energies corresponding to either surface-donor-bound or free excitons, demonstrating that optical properties of individual nanowires are determined by a few impurity atoms alone. The number of impurities per nanowire and their location within the nanowires varies according to Poissonian statistics.  相似文献   

19.
Using a selective growth of ZnO nanowires on patterned electrode layers by using the vapor-phase-growth method, networked ZnO nanowires were fabricated. These networked nanowires were tested as sensors for detecting CO at ppm levels. Good sensitivity and a dynamic property with respect to CO were confirmed in the networked ZnO nanowire sensor. The sensing mechanism is attributed to the change not only in the width of the space charge region along the length direction of each nanowire, but also in the height of the potential barrier at the junction during adsorption and desorption of chemical gaseous species. This novel method to fabricate gas sensors may circumvent the drawbacks of single nanowire gas sensors.  相似文献   

20.
Zhang YL  Li J  To S  Zhang Y  Ye X  You L  Sun Y 《Nanotechnology》2012,23(6):065304
Nanowire field-effect transistors (nano-FETs) are nanodevices capable of highly sensitive, label-free sensing of molecules. However, significant variations in sensitivity across devices can result from poor control over device parameters, such as nanowire diameter and the number of electrode-bridging nanowires. This paper presents a fabrication approach that uses wafer-scale nanowire contact printing for throughput and uses automated nanomanipulation for precision control of nanowire number and diameter. The process requires only one photolithography mask. Using nanowire contact printing and post-processing (i.e. nanomanipulation inside a scanning electron microscope), we are able to produce devices all with a single-nanowire and similar diameters at a speed of ~1 min/device with a success rate of 95% (n = 500). This technology represents a seamless integration of wafer-scale microfabrication and automated nanorobotic manipulation for producing nano-FET sensors with consistent response across devices.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号