首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Transient enhanced diffusion (TED) and electrical activation after nonamorphizing Si implantations into lightly B-doped Si multilayers shows two distinct timescales, each related to a different class of interstitial defect. At 700°C, ultrafast TED occurs within the first 15 s with a B diffusivity enhancement of > 2 × 105. Immobile clustered B is present at low concentration levels after the ultrafast transient and persists for an extended period ( 102–103 s). The later phase of TED exhibits a near-constant diffusivity enhancement of ≈ 1 × 104, consistent with interstitial injection controlled by dissolving {113} interstitial clusters. The relative contributions of the ultrafast and regular TED regimes to the final diffusive broadening of the B profile depends on the proportion of interstitials that escape capture by {113} clusters growing within the implant damage region upon annealing. Our results explain the ultrafast TED recently observed after medium-dose B implantation. In that case there are enough B atoms to trap a large proportion of interstitials in Si---B clusters, and the remaining interstitials contribute to TED without passing through an intermediate {113} defect stage. The data on the ultrafast TED pulse allows us to extract lower limits for the diffusivities of the Si interstitial (DI > 2 × 10−10 cm2s−1) and the B interstitial(cy) defect (DBi > 2 × 10−13 cm2s−1) at 700°C.  相似文献   

2.
The damage distributions induced by ultra low energy ion implantation (5 keV Si+) in both strained-Si/Si0.8Ge0.2 and normal Si are measured using high-resolution RBS/channeling with a depth resolution better than 1 nm. Ion implantation was performed at room temperature over the fluence range from 2 × 1013 to 1 × 1015 ions/cm2. Our HRBS results show that the radiation damage induced in the strained Si is slightly larger than that in the normal Si at fluences from 1 × 1014 to 4 × 1014 ions/cm2 while the amorphous width is almost the same in both strained and normal Si.  相似文献   

3.
In order to get information about the lattice location and the mobility of 12C in GaAs wafers, the channeling technique in combination with nuclear reaction analysis (NRA) is a powerful method. The targets were implanted with 12C ions (2.6 × 1013−2.6 × 1015cm−2) at energies between 60 and 3000 keV. This corresponds to implantation depths of about 0.1 to 3 μm. Using the nuclear reaction 12C(d, p)13C a depth distribution of the implanted carbon is obtained. The relationship between the concentration of 14C in random and along the 100 and 110 axial directions gives information about the substitutional lattice location of carbon within the GaAs crystal. In addition, we measured lattice defect depth distributions with a 1.5 MeV 4He+ beam before and after thermal annealing at temperatures up to 600°C.  相似文献   

4.
The lattice damage accumulation in GaAs and Al0.3Ga0.7As/GaAs superlattices by 1 MeV Si+irradiation at room temperature and 350°C has been studied. For irradiations at 350°C, at lower doses the samples were almost defect-free after irradiation, while a large density of accumulated defects was induced at a higher dose. The critical dose above which the damage accumulation is more efficient is estimated to be 2 × 1015 + Si/cm2 for GaAs, and is 5 × 1015 Si/cm2 for Al0.8Ga0.7As/GaAs superlattice for implantation with 1.0 MeV Si ions at 350°C. The damage accumulation rate for 1 MeV Si ion implantation in Al0.3Ga0.7As/GaAs superlattice is less than that in GaAs.  相似文献   

5.
We report on the optical planar waveguides in Nd:YLiF4 laser crystals fabricated by 6.0 MeV C3+ ion implantation at doses of 1 × 1015 or 2.5 × 1015 ions/cm2, respectively. The refractive index profiles, which are reconstructed according to the measured dark mode spectroscopy, show that the ordinary index had a positive change in the surface region, forming non-leaky waveguide structures. The extraordinary index is with a typical barrier-shaped distribution, which may be mainly due to the nuclear energy deposition of the incident ions into the substrate. In order to investigate the thermal stability of the waveguides, the samples are annealed at temperature of 200–300 °C in air. The results show that waveguide produced by higher-dose carbon implantation remains relatively stable with post-irradiation annealing treatment at 200 °C in air.  相似文献   

6.
The synthesis of SiGe/Si heterostructures by Ge+ ion implantation is reported. 400 keV Ge+ ions were implanted at doses ranging from 3 × 1016 to 10 × 1016 ions/cm2 into (001) Si wafers, followed by Si+ amorphisation and low temperature Solid Phase Epitaxial Regrowth (SPER). TEM investigations show that strained alloys can be fabricated if the elastic strain energy (Eel) of the SiGe layer does not exceed a critical value (Eel) of about 300 mJ/m2, which is independent of the implantation energy. Our analysis also suggests that “hairpin” dislocations are formed as strain relieving defects in relaxed structures. A “strain relaxation” model is proposed to explain their formation.  相似文献   

7.
X-cut LiNbO3 crystals have been implanted by 0.8, 1.0 and 1.2 MeV F+ tilted at angles of 15°, 45° and 60° with doses of 5 × 1014, 7 × 1014 and 5 × 1014 ions/cm2, respectively. The Rutherford backscattering (RBS)/channeling technique was used to investigate the induced damage distributions. The damage profiles were deconvoluted from the measured spectra after considering the energy spread due to the different stopping power of channeled and nonchanneled ions. Good agreements were obtained between the measured damage profiles and the calculated defect profiles by TRIM'90 (transport of ions in matter, version 1990), except that the measured damage concentration was enhanced in the near-surface region. Information on the lateral and longitudinal damage spread in LiNbO3 crystals was obtained from the damage profiles induced by tilted ion implantations and compared with TRIM'90 calculation.  相似文献   

8.
The effects of ion implantation on the electrical and structural properties of poly(dimethylsilylene-co-methylphenylsilylene), (DMMPS) thin films have been investigated. Ionic species of krypton, arsenic, fluorine, chlorine, and sulfur were implanted at energies ranging from 35 to 200 keV and with doses of up to 1 × 1016 ion cm2. The conductivity of the polymer increased upon implantation reaching a maximum value of 9.6 × 10−6 (Ω cm)−1 for the case of arsenic ion at a dose of 1 × 1016 ion cm2 and energy of 100 keV. The results showed that ion implantation induced conduction in DMMPS was primarily due to structural modifications of the material brought about by the, energetic ions. Infrared analysis and Auger electron spectroscopy showed evidence for the formation of a silicon carbide-like structure upon implantation.  相似文献   

9.
To investigate the nonlinear dose dependence of the thickness of the recrystallized layer during ion beam induced epitaxial recrystallization at amorphous/crystalline interfaces GaAs samples were irradiated with 1.0 MeV Ar+, 1.6 MeV Ar+ or 2.5 MeV Kr+ ions using a dose rate of 1.4 × 1012 cm−2 s−1 at temperatures between 50°C and 180°C. It has been found that the thickness of the recrystallized layer reaches a maximum value at Tmax = 90°C and 135°C for the Ar+ and Kr+ implantations, respectively. This means that the crystallization rate deviates from an Arrhenius dependence due to ion beam induced nucleation and growth within the remaining amorphous layer. The size of the crystallites depends on the implantation dose. This nucleation and growth of the crystallites disturbes and at least blocks the interface movement because the remaining surface layer becomes polycrystalline. Choosing temperatures sufficiently below Tmax the thickness of the recrystallized layer increases linearly with the implantation dose indicating that the irradiation temperature is too low for ion induced nucleation.  相似文献   

10.
Pristine C60 films sublimed onto sheet mica were implanted with 20 keV K+ ions and I+ ions at doses of 1.0 × 1016/cm2, 3.0 × 1016/cm2 and 5.0 × 1016/cm2, and with 20 keV Ar+ ions at a dose of 5.0 × 1016/cm2. The distributions of dopants were studied using Rutherford backscattering spectrometry (RBS). The temperature dependence of sheet resistivity of the films was investigated applying a four-probe system. It was proposed that the conductivity enhancement of K+ implanted C60 films was due to the implanted ions in the films, while for I+ implanted C60 films, both implanted I+ ions and irradiation effects of the ions contributed to the enhancement of conductivity.  相似文献   

11.
6H SiC single crystals were implanted at room temperature with 1 MeV He+ up to a fluence of 2 × 1017 at./cm2. RBS-channeling analysis with a 2 MeV He+ beam indicated the formation of extended defects or the generation of point defects at a constant concentration over a depth of about 1 μm. Electron microscopy characterisation revealed the presence of two amorphous buried layers at depths of about 1.75 and 4.8 μm. They are due to the implantation and to the analysing RBS beam, respectively. No extended planar or linear faults were found in the region between the surface and the first amorphous layer. However, at the surface, a 50 nm thick amorphous layer was observed in which crystalline inclusions were embedded. Electron diffraction and HREM data of the inclusions were typical for diamond. These inclusions were even found in the crystalline SiC material below this layer, however at a reduced density.  相似文献   

12.
Xe+ ion implantation with 200 keV was completed at room temperature up to a fluence of 1 × 1017 ion/cm2 in yttria-stabilized zirconia (YSZ) single crystals. Optical absorption and X-ray photoelectron spectroscopy (XPS) were used to characterize the changes of optical properties and charge state in the as-implanted and annealed crystals. A broad absorption band centered at 522 or 497 nm was observed in the optical absorption spectra of samples implanted with fluences of 1 × 1016 ion/cm2 and 1 × 1017 ion/cm2, respectively. These two absorption bands both disappeared due to recombination of color centers after annealing at 250 °C. XPS measurements showed two Gaussian components of O1s spectrum assigned to Zr–O and Y–O, respectively, in YSZ single crystals. After ion implantation, these two peaks merged into a single peak with the increasing etching depth. However, this single peak split into two Gaussian components again after annealing at 250 °C. The concentration of Xe decreased drastically after annealing at 900 °C. And the XPS measurement barely detected the Xe. There was no change in the photoluminescence of YSZ single crystals with a fluence of 1 × 1017 ion/cm2 after annealing up to 900 °C.  相似文献   

13.
It has been established that nitrogen implantation into metals can alter their surface properties such as friction, wear, corrosion, etc. Recent studies have shown that nitrogen implantation into aluminium leads to the formation of aluminium nitride which has interesting tribological, electronic and optical properties. For a given implantation energy, the characteristics of the nitrogen profile, e.g. thickness, shape and concentration, depend strongly on the experimental conditions during the implantation. In order to study the influence of the implantation parameters, aluminium samples have been bombarded with 15N+2 of 100 keV to different doses ((1–20) × 1017 N+/cm2), at several temperatures (25–300° C). Distributions of the implanted species were investigated by nuclear reaction analysis (NRA) and by Rutherford backscattering spectroscopy (RBS). The chemical bonds of aluminium in the matrix were studied by using low-energy electron-induced X-ray spectroscopy (LEEIXS). It is shown that aluminium nitride is formed and that the nitrogen distribution presents a surface peak when the implantation temperature is higher than 200° C.  相似文献   

14.
In this paper we report on results of surface modification in several candidate materials for inert matrix fuel hosts (MgAl2O4, MgO and Al2O3) induced by (0.5–5) MeV/amu Kr, Xe and Bi ion bombardment in the fluence range of 2 × 1010–1012 ions/cm2. The size and shape of nanoscale hillock-like defects, each of which was created by the impact of a single ion, have been studied by using atomic force microscopy (AFM) technique. It was found that mean hillock height on sapphire and spinel surfaces depends linearly on the incident electronic stopping power. The hillocks are highest in MgAl2O4, having a lower threshold for the lattice disorder in the bulk material via relaxation of electronic excitations. As a possible reason for the hillocks formation, the plastic deformation due to the defects created by the Coulomb explosion mechanism in the target subsurface layer is suggested.  相似文献   

15.
Charge accumulation at the surface of insulators during low energy ion implantation is related to two processes: ion impinging on the sample and secondary electron emission. Samples composed of a piece of Si (having the size of the ion beam) fixed on the centre of polyethylene (PE) coupons have been implanted with 2.2 keV H2 ions to a fluence of 2 × 1016 H/cm2. ERD (Elastic Recoil Detection) depth profiles of the implanted ions are shallower with an increase of the PE coupon size. The relative critical Si/PE size to repel all the incident ions is around 1.1 × 1.1 cm2/2.5 × 2.5 cm2. The potential of the secondary electron suppressor has been varied from −500 V to +500 V. It changes the secondary electron distribution around the implanted area and, consequently, affects the accumulation of charges at the sample surface. When the potential is 0 V, a uniform ion implantation with little effect of charge accumulation for all sizes of PE coupons is obtained. A two-dimension model has been performed and gives a good explanation for the mechanism of the electric charge neutralisation.  相似文献   

16.
A Si pn junction diode and a GaAs Schottky diode were prepared for studying the basic mechanism of charge collection followed by high energy charged particle incidence in order to improve the resistance against single event upset. A 2 μm wide and 20 μm long rectangular Al electrode attached to a circular Al electrode with a 50 μm diameter was made on a 2.5 μm thick epilayer (minority carrier density 2 × 1015 /cm3). Both a Schottky electrode of Al (5 μm × 110 μm) and two ohmic electrodes of AuGe/Ni (110 μm × 110 μm) were made on a 2 μm thick epilayer (7.3 × 1015 /cm3) grown on a semi insulator GaAs substrate (1 × 107 Ω cm). The internal device structure was examined by the IBIC (Ion Beam Induced Charge) method using a 2 MeV He+ ion microbeam. IBIC images clearly show an Al electrode, the SiO2, and an epilayer. These results were then used to improve the qualities of the test diodes.  相似文献   

17.
Variation of the ion beam induced charge (IBIC) pulse heights due to ion irradiation was investigated on a Si pn diode and a 6H-SiC Schottky diode using a 2 Mev He+ micro-beam. Each diode was irradiated with a focused 2 MeV He+ micro-beam to a fluence in the range of 1×109–1×1013 ions/cm2. Charge pulse heights were analyzed as a function of the irradiation fluence. After a 2 MeV ion irradiation to the Si pn junction diode, the IBIC pulse height decreased by 15% at 9.2×1012 ions/cm2. For the SiC Schottky diode, with a fluence of 6.5×1012 ions/cm2, the IBIC pulse height decreased by 49%. Our results show that the IBIC method is applicable to evaluate irradiation damage of Si and SiC devices and has revealed differences in the radiation hardness of devices dependent on both structural and material.  相似文献   

18.
Lightly doped silicon samples of both n- and p-type have been implanted with low doses of H, B and Si ions using energies between 1 and 6 MeV. The resulting electrically active point defects were characterized by deep level transient spectroscopy (DLTS) and several of these defects involve oxygen and/or carbon, two major impurities in as-grown crystalline silicon. Both interstitial- and vacancy-type defects are observed; in particular, interstitial carbon is found to migrate at room temperature with a diffusion constant of 1 × 10−15 cm2 s−1 and is effectively trapped by interstitial oxygen atoms. The concentration of implantation-induced defects increases linearly with dose but the defect production decreases at high enough dose rates. This dose rate effect depends on the ion mass and is qualitatively predicted by computer simulations of the defect reaction kinetics.  相似文献   

19.
-Al2O3 single crystals were bombarded with MeV xenon ions from 1015 to 1017 ions cm−2 and GeV uranium ions from 1011 to 1013 ions cm−2 to study the surface swelling of sapphire at 77 and 300 K due to atomic collision processes (Xe) and electronic energy loss processes in the 20–45 keV/nm regime (U). The induced damage was studied by channeling Rutherford backscattering. Surface swelling was measured with a profilometer. The step height induced by nuclear cascades of MeV xenon increases with the ion fluence and saturates. With GeV uranium, an electronic stopping power threshold for surface swelling was observed and the step height increased with the damage for dE/dx higher than this threshold.  相似文献   

20.
An off-line release study 13N(T1/2 = 9.96 min) produced by proton induced reaction on a graphite target (POCO-graphite EDM3, density = 1.84 g/cm3, grain size /t 3 μm) has been performed. The activation energy for the diffusion process is determined to be 6.15(16)×105 J/mol. With this activation energy, extraction efficiencies for 13N are obtained at different temperatures and are compared to on-line measured extraction efficiencies.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号