首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
A novel FPGA chip FDP2008 (Fudan Programmable Logic) has been designed and implemented with the SMIC 0.18μm CMOS logic 1P6M process. The new design method means that the configurable logic block can be configured as distributed RAM and a shift register. A universal programmable routing circuit is also presented; by adopting offset lines, complementary hanged end-lines and MUX + Buffer routing switches, the whole FPGA chip is highly repeatable, and the signal delay is uniform and predictable over the total chip. A standard configuration interface SPI is added in the configuration circuit, and a group of highly sensitive amplifiers is used to magnify the read back data. FDP2008 contains 20 × 30 logic TILEs, 200 programmable IOBs and 10 × 4 kbit dual port block RAMs. The hardware software cooperation test shows that FDP2008 works correctly and efficiently.  相似文献   

2.
本文设计了一种对可编程逻辑单元CLB和可编程输出单元IOB均具有统一结构的可编程互连电路。通过偏移互连线和回线技术,使得同种可编程互连线的负载分布均匀,保证了可编程逻辑器件FPGA芯片中信号传输的可预测性和规整性;同时,设计过程中对编程点和驱动器电路进行专门的优化设计,减少了5%延时。运用该互连电路到实例FPGA芯片--FDP芯片中,流片后实测数据表明:该可编程互连电路中各种互连线功能正确,可以正确地完成各种信号的互连,整个芯片的延迟统一而且可预测。  相似文献   

3.
Fabrication cost of application-specific integrated circuits (ASICs) is exponentially rising in deep submicron region due to rapidly rising non-recurring engineering cost. Field programmable gate arrays (FPGAs) provide an attractive alternative to ASICs but consume an order of magnitude higher power. There is a need to explore ways of reducing FPGA power consumption so that they can also be employed in ultra low power (ULP) applications instead of ASICs. Subthreshold region of operation is an ideal choice for ULP low-throughput FPGAs. The routing of an FPGA consumes most of the chip area and primarily determines the circuit delay and power consumption. There is a need to design moderate-speed ULP routing switches for subthreshold FPGA. This article proposes a novel subthreshold FPGA routing switch box (SB) that utilises the leakage voltage through transistor as biasing voltage which shows 69%, 61.2% and 30% improvement in delay, power delay product and delay variation, respectively, over conventional routing SB.  相似文献   

4.
In most commercial field programmable gate arrays (FPGA's) the number of wiring tracks in each channel is the same across the entire chip. A long-standing open question for both FPGA's and channeled gate arrays is whether or not some uneven distribution of routing tracks across the chip would lead to an area benefit. For example, many circuit designers intuitively believe that most congestion occurs near the center of a chip, and hence expect that having wider routing channels near the chip center would be beneficial. In this paper, we determine the relative area-efficiency of several different routing track distributions. We first investigate FPGA's in which horizontal and vertical channels contain different numbers of tracks in order to determine if such a directional bias provides a density advantage. Second, we examine routing track distributions in which the track capacities vary from channel to channel. We compare the area efficiency of these nonuniform routing architectures to that of an FPGA with uniform channel capacities across the entire chip. The main result is that the most area-efficient global routing architecture is one with uniform (or very nearly uniform) channel capacities across the entire chip in both the horizontal and vertical directions. This paper shows why this result, which is contrary to the intuition of many FPGA architects, is true. While a uniform routing architecture is the most area-efficient, several nonuniform and directionally biased architectures are fairly area-efficient provided that appropriate choices are made for the pin positions on the logic blocks and the logic block array aspect ratio  相似文献   

5.
This paper describes GlitchLess, a circuit-level technique for reducing power in field-programmable gate arrays (FPGAs) by eliminating unnecessary logic transitions called glitches. This is done by adding programmable delay elements to the logic blocks of the FPGA. After routing a circuit and performing static timing analysis, these delay elements are programmed to align the arrival times of the inputs of each lookup table (LUT), thereby preventing new glitches from being generated. Moreover, the delay elements also behave as filters that eliminate other glitches generated by upstream logic or off-chip circuitry. On average, the proposed implementation eliminates 87% of the glitching, which reduces overall FPGA power by 17%. The added circuitry increases the overall FPGA area by 6% and critical-path delay by less than 1%. Furthermore, since it is applied after routing, the proposed technique requires little or no modifications to the routing architecture or computer-aided design (CAD) flow.   相似文献   

6.
FPGA布线通道分布对面积效率的影响研究   总被引:2,自引:0,他引:2  
该文提出了现场可编程门阵列(FPGA)布线通道不均匀分布对芯片面积的影响。引入几个典型的数学分布函数(高斯,正弦和三角分布),实现通道容量随函数分布变化的新FPGA结构。将这些结构的FPGA与传统的布线通道均匀分布的FPGA作比较,结果表明按照数学分布变化的布线通道分布结构比均匀分布情况下的面积效率要高。亦即通道分布的变化趋势是峰值位置位于芯片中央,即通道容量最大,从中间位置向边缘按函数变化趋势逐渐变小。  相似文献   

7.
随着现场可编程门阵列(FPGA)芯片在安全领域上的广泛应用,有关FPGA密码芯片的抗(DPA)研究也越来越受关注,但目前的研究成果大多针对智能卡的安全防护。在研究各种电路级安全防护技术的基础上,采用硬件宏的方法将双轨和预充电技术应用于FPGA芯片的数据加密标准算法(DES)硬件结构,通过DPA攻击实验后发现,未加防护措施的DES加密系统难以抵御DPA攻击,而加防护措施的加密系统具有抗DPA攻击的能力。  相似文献   

8.
针对专用DDS芯片功能单一的缺点,提出了基于FPGA的DDS信号发生器的设计方案。利用Xilinx公司的ISE完成了系统核心部分数控振荡器的设计,其中波形存储器通过调用IP核实现,方便且集成度高。通过功能模块仿真与最终完整电路测试,表明基于FPGA的DDS信号发生器稳定度高,分辨率高以及转换速度快,而且能够输出任意波形的信号。由于FPGA实现软核处理器,因此可以方便地对DDS进行修改与优化,具有无与伦比的灵活性。  相似文献   

9.
针对多通道数字声波数据采集时序控制复杂的特点,设计了一种基于DSP和FPGA的声波数据采集与处理电路。该设计采用每个通道设计由独立的ADC转换模块进行处理,同时使用单片DSP和单片FPGA进行数据汇总和协调处理,设计中在FPGA内部构造2个双端口RAM作为模数转换器和DSP之间的数据缓存器,电路协调一致地完成4路信号的...  相似文献   

10.
This paper describes a new programmable routing fabric for field-programmable gate arrays (FPGAs). Our results show that an FPGA using this fabric can achieve 1.57 times lower dynamic power consumption and 1.35 times lower average net delays with only 9% reduction in logic density over a baseline island-style FPGA implemented in the same 65-nm CMOS technology. These improvements in power and delay are achieved by 1) using only short interconnect segments to reduce routed net lengths, and 2) reducing interconnect segment loading due to programming overhead relative to the baseline FPGA without compromising routability. The new routing fabric is also well-suited to monolithically stacked 3-D-IC implementation. It is shown that a 3-D-FPGA using this fabric can achieve a 3.3 times improvement in logic density, a 2.51 times improvement in delay, and a 2.93 times improvement in dynamic power consumption over the same baseline 2-D-FPGA.  相似文献   

11.
This paper describes a project dedicated to developing an improved (in terms of usability) version of our previous telecommunication-oriented field programmable gate array (FPGA), and its applications. To achieve this goal, we adopt several challenging design strategies. First, we determine the new FPGA architecture based on a quantitative evaluation carried out to optimize the interaction between the FPGA and CAD algorithms. In addition, we create a new chip design environment that allows semi-automatic test pattern generation and cross-checking between logic and layout design. Furthermore, a dedicated CAD system is developed based on a consideration of the evaluation results and the characteristics of the FPGA. As a result of these design strategies, the FPGA and CAD system are well-balanced, and even though the FPGA has very rich routing resources, the routing process can be finished quickly without sacrificing application-circuit performance. The FPGA is applied to several reconfigurable systems for telecommunications, and is found to offer the required functions and good performance  相似文献   

12.
This authors explore the effect of logic block architecture on the speed of a field-programmable gate array (FPGA). Four classes of logic block architecture are investigated: NAND gates, multiplexer configurations, lookup tables, and wide-input AND-OR gates. An experimental approach is taken, in which each of a set of benchmark logic circuits is synthesized into FPGAs that use different logic blocks. The speed of the resulting FPGA implementations using each logic block is measured. While the results depend on the delay of the programmable routing, experiments indicate that five- and six-input lookup tables and certain multiplexer configurations produce the lowest total delay over realistic values of routing delay. The fine grain blocks, such as the two-input NAND gate, exhibit poor performance because these gates require many levels of logic block to implement the circuits and hence require a large routing delay  相似文献   

13.
Field programmable gate array (FPGA) consumes a significant amount of static and dynamic power due to the presence of additional logic for providing more flexibility as compared to application specific integrated circuits (ASICs). The fabrication cost of ASICs is rising exponentially in deep submicron and hence it is important to investigate different techniques for reducing FPGA power consumption so that they can also be employed in place of ASICs in portable energy constrained applications. It is also important to investigate the possibility of extending the use of FPGA even to subthreshold region for ultra low power (ULP) applications. Interconnect resources of an FPGA consumes most of the chip power, area and also determines the overall circuit delay. Subthreshold circuits show orders of magnitude power saving over superthreshold circuits. Improving the performance of subthreshold circuits is a main design challenge at the circuit and device levels to spread their application area. This paper proposes to improve the performance of subthreshold FPGA in terms of delay and switching energy by optimizing and operating interconnect drivers in the near threshold operating region. The possibility of inserting repeaters and the suitability of CNT as an interconnect in the subthreshold region are also explored. The simulation of FPGA interconnect resources using the proposed technique shows 67%, 73.33% and 61.8% increase in speed and 35.72%, 39% and 35.44% reduction in switching energy for Double, Hex and Long interconnect segments, respectively, over the conventional one.  相似文献   

14.
针对传统的遥测信号源缺乏灵活可配置性、通用性差的问题,提出采用FPGA和DDS技术为核心设计灵活可配置的可编程遥测信号源。该信号源的硬件电路主要由低成本FPGA芯片和DDS芯片组成,采用Verilog语言进行编程,使FPGA控制核心输出不同的相位、频率、波形等控制字信息给DDS芯片,经DDS芯片后输出所需波形。仿真表明,该信号源能够输出频率范围在0~12.5MHz的频率、相位可调的正弦波、三角波、方波等波形信号,具有一定的通用性。  相似文献   

15.
采用门控淬灭技术的单光子探测更容易实现高速探测,并且使用寿命更长.门控信号的宽度与探测噪声及探测效率直接相关.基于高速D触发器特性以及高精度可编程延迟芯片,提出了一种频率和门控信号宽度可调的脉冲信号产生方法.通过对芯片特性进行研究,设计了实验电路并对实验结果进行了展示和讨论.结果表明,该方法能够产生最小门宽1 ns、最...  相似文献   

16.
飞控计算机采集飞机上各类传感器和其他飞行控制系统的输入信息,分析解算后控制飞行姿态。频率信号的采集就是其中重要的数据信息类型之一。在此采用可编程芯片FPGA实现将频率信号转换为数字信号的方法。这种设计思想避免了搭建复杂的硬件电路来实现该功能,降低了模块硬件设计成本,节省了印制板布局空间,降低了设计复杂度,同时设计该功能的测试接口,以便于该功能故障后能够准确定位故障源。  相似文献   

17.
汪金辉  张健  宫娜  吴武臣  董利民   《电子器件》2008,31(1):252-255
介绍了一种基于 FPGA 的集成液晶控制器.系统由显示模块和控制模块组成,显示模块(LEM101)为10 bit 多功能通用型器件,内含看门狗(WDT)/时钟发生器,2 种频率的蜂鸣驱动电路,内置显示RAM,及3-4线串行接口.控制器基于1.5万门 FPGA 芯片(Xilinx XC3S1500),易于扩展和升级.利用 Verilog 语言,在 FPGA 芯片中实现了控制模块的设计,通过 GR-XC3S-1500 开发板验证,本设计完全满足对液晶模块的控制要求,并成功应用于光栅测量显示控制系统中.控制模块由四部分组成:存储、译码、串并转换器、输出控制.文章讨论了设计方法和设计过程,给出了部分 Verilog 代码.此外,本设计还创造性地在电源和 FPGA 芯片间插入低成本元件,满足了液晶上电后,初始化命令的延迟要求,从而节约了 FPGA 的硬件资源.  相似文献   

18.
李媛  王畅  郭建新  刘尚峰 《通信技术》2011,44(8):133-135
基于自顶向下的设计思想,对一可变参数数据采集控制电路进行了现场可编辑门陈列(FPGA)的设计与实现。该方案采用超高速硬件描述语言(VHDL)和模块库调用相结合的方法,实现了对数据采样率、采样深度、采样延时和采样批次的现场可编程控制。功能仿真和硬件实现均表明,设计电路性能可靠,具有较高的适应性和扩展性,满足设计需求,最大限度地提高了信号采集和处理能力。  相似文献   

19.
Multi-FPGA Boards (MFBs) have been in use for more than a decade for implementing systems requiring high performance and for emulation/prototyping of multimillion gate chips. It is important to develop an MFB architecture which can be used for emulation or prototyping of a large number of circuits. A key feature of an MFB is its routing architecture defined by its inter-Field-Programmable Gate Array (FPGA) connections. There are two types of inter-FPGA connections, namely–fixed connections (FCs) connecting a pair of FPGAs through dedicated wires and programmable connections (PCs) which connect a pair of FPGAs through a programmable switch. An architecture which has a mix of both these type of connections is called a hybrid routing architecture. It has been shown in the literature [7] that a hybrid MFB architecture is more efficient for emulation than an architecture with only one type of connections. The cost of an MFB and delay of the emulated circuit on it depends on the number of PCs used for emulation. An objective of a designer of an MFB for circuit emulation is to minimize the required number of PCs. In this paper, we describe algorithms to evaluate the requirement of PCs for many hybrid routing architectures.The requirement of PCs can be reduced if some programmable connections are replaced by a connection using only FCs by routing through FPGAs. Such a routing is called multi-hop routing. We present an optimal and a heuristic algorithm for estimation of PCs when limited number of hops through FPGAs are permitted. The unique feature of our evaluation scheme is that it is generic and treat routing architecture as a parameter. We have used benchmark circuits as well as synthetic cloned circuits for testing our algorithms. Our heuristic algorithm is very fast and gives optimal results most of the time. Our algorithms can be used for actual routing during circuit emulation.  相似文献   

20.
面阵CCD及线阵CCD不能胜任海洋目标观测的要求,选用具有高信噪比高灵敏度的时间延迟积分CCD(Time delay integration CCD, TDI-CCD)作为 探测器并实现其驱动电路。在图像采集过程中,TDI-CCD探测器使用两个读取端口输出。 该探测器驱动电路产 生TDI-CCD和A/D的驱动时序。CCD的模拟输出信号被A/D采样,转换成可被计算机识别 的数字信号。采用FPGA作为主控芯片,产生驱动时序,接收被A/D转换过的数字信号, 并发送图像至计算机。利用相关双采样(Correlated double sampling, CDS)技术滤除TDI-CCD模 拟输出信号的相关噪声,提高信号的信噪比。现场可编程门阵列(Field programmable gate array, FPGA)代码在ISE14.7下进行仿真,实验表明,研制的TDI-CCD驱动电路能够产生CCD要求的驱动时序。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号