首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 0 毫秒
1.
Abstract

SrBi2Ta2O9 (SBT) thin films were deposited on 6-inch Pt/Ti/SiO2/Si substrates by rf magnetron sputtering using a 12-inch ceramic SBT single target. It is found that several sputtering parameters such as argon (Ar) pressure and rf power were very effective to control the Bi content of SBT thin films which is essential for obtaining good ferroelectric properties.  相似文献   

2.
Abstract

The effects of sputtering conditions on the SrBi2Ta2O9 films deposited via a single-target RF-sputtering process were investigated in this study. It was found that the composition of targets significantly affected the phases and the composition of the deposited films. When the target contained high bismuth content, SrBi2Ta2O9 and a secondary Bi2O3 phase were formed. When the bismuth content in the targets was insufficient, a pyrochlore phase was produced. SEM images revealed that the composition of the targets also affected the surface morphology of the obtained films. When the target-to-substrate distance was increased, bismuth oxide was formed, which resulted in an increase in the leakage current. By optimizing the deposition conditions, the ferroelectric properties of SrBi2Ta2O9 films were improved.  相似文献   

3.
Abstract

Bi–layered ferroelectric SrBi2Ta2O9 (SBT) films were successfully prepared on Pt/Ti/SiO2/Si substrates at 650°C by a modified rf magnetron sputtering technique. The SBT films annealed for 1 h in O2 (760 torr) and again for 30 min in O2 (5 torr) at 650°C show a average grain size of about 49 nm. The SBT films annealed at 65 0°C have a remanent polarization (Pr) of 6.0 μC/cm2 and coercive field (Ec) of 36 kV/cm at an excitation voltage of 5 V. The films showed fatigue–free characteristics up to 4.0 × 1010 switching cycles under 5 V bipolar pulse. The retention characteristics of SBT films looked very promosing up to 1.0 × 105 s.  相似文献   

4.
Abstract

Ferroelectric Bi4Ti3O12 thin films were deposited on Pt-coated oxidized Si substrate by electron cyclotron resonance (ECR) sputtering using ceramic targets. Crystal structure and dielectric properties of the films were investigated as functions of sputtering conditions such as substrate temperature and sputtering gas. Using a target with excess Bi content compared to stoichiometric composition was required to compensate Bi re-evaporation from the substrate and to obtain a perovskite single phase at 600°C. (117)-oriented films exhibited ferroelectric hysteresis loops. The remanent polarization and coercive field of the films were 9.8 μC/cm2 and 180 kV/cm, respectively.  相似文献   

5.
Abstract

Ferroelectric SrBi2Ta2O9(SBT) thin films prepared by metalorganic decomposition (MOD) method were annealed in forming gas (5% hydrogen + 95% nitrogen) at different temperatures for 60 min. SEM analysis results showed that an amount of columnar structures appeared on SBT surface when the annealing temperature was up to 450°C. When the annealing temperature raised up to 500°C, these columnar structures grew along one dimension and changed into wire structures. The EDX micro-area mapping analysis result showed that Bi was concentrated in the columnar or wire structures on SBT surface. The ferroelectric property analysis results showed that the hysteresis loops still existed after 5 min forming gas processing (350°C or 400°C), but when the annealing time was longer than 10 min, the resistance of the SBT samples became too low to measure the hysteresis loops.  相似文献   

6.
Abstract

We have successfully grown non-c-axis-oriented epitaxial ferroelectric SrBi2Ta2O9 (SBT) films with (116) and (103) orientations on Si(100) substrates using epitaxial (110)- and (111)-oriented SrRuO3 (SRO) bottom electrodes, respectively. The SRO orientations have been induced by coating the Si(100) substrates with epitaxial YSZ(100) and MgO(111)/ YSZ(100) buffer layers, respectively. All films were sequentially grown by pulsed laser deposition. Specific in-plane orientations of the epitaxial SBT films were found, which are in turn determined by specific in-plane orientations of the epitaxial SRO bottom electrodes. These include a diagonal rectangle-on-cube epitaxy of SRO(110) on YSZ(100) and a triangle-on-triangle epitaxy of SRO(111) on MgO(111).  相似文献   

7.
Abstract

Stress behavior, results of AES analysis and electrical properties of SBT in dependence of electrode structure and annealing conditions are discussed. Evidence for degradation of the electrical properties of SBT due to diffusion of Ti is presented.  相似文献   

8.
Abstract

In this work, the microstructural defects in SrBi2Ta2O9 (SBT) ferroelectric thin films were investigated at the atomic-scale by high-resolution transmission electron microscopy (HRTEM). A stacking fault with an extra inserted Bi-O plane normal to the c-axis was observed in SBT film with 10mol% excess bismuth prepared by metalorganic deposition. Edge dislocations with an average space about 3nm were observed at the small misorientation angle (8.2°) tilt grain boundary of SBT film with (001)-orientation prepared by pulsed laser deposition. The Burgers vector b for the edge dislocation was determined to be 1/2[110]α0, where α0 is the parameter of SBT unit cell. Chemical compositions of grains and grain boundaries in SBT films annealed in forming gas at 450°C and 500°C for 60 minutes were analyzed by using energy dispersive spectra at the nano-scale. Effects of the microstructural defects and microchemistry of the grain boundaries on the leakage current of SBT films are briefly discussed.  相似文献   

9.
Abstract

The effects of annealing in forming gas (5% hydrogen, 95% nitrogen; FGA) are studied on spin coated SrBi2Ta2O9 (SBT) thin films. SBT films on platinum bottom electrode are characterized with and without platinum top electrode by Scanning Electron Microscopy (SEM), Auger Electron Spectroscopy (AES), High Temperature X-Ray Diffraction (HT-XRD) and Secondary Ion Mass Spectrometry (SIMS).

High Temperature X-Ray Diffraction (HT-XRD) of blanket Ti/Pt/SBT films in forming gas revealed that the bismuth layered perovskite structure of the SBT is stable up to approx. 500°C. SIMS analysis of Pt/SBT/Pt samples annealed in deuterated forming gas (5% D2, 95% N2) showed that the hydrogen accumulates in the SBT layer and at the platinum interfaces next to the SBT. After FGA of blanket SBT films, tall platinum-bismuth whiskers are seen on the SBT surface.

Performing the FGA of the whole Pt/SBT/Pt/Ti stack, two different results are found. For the samples with a high temperature annealing (HTA) step in oxygen after top electrode patterning, top electrode peeling is observed after FGA. For the samples without a HTA step after top electrode patterning, no peeling is observed after FGA.  相似文献   

10.
Abstract

SrBi2Ta2O9 (SBT) is an attractive material for nonvolatile ferroelectric memory applications. In this paper we report on the deposition of highly epitaxial and smooth SrBi2Ta2O9 films on (110) SrTiO3substrates. The films were grown by pulsed laser deposition at temperatures ranging from 600 to 800°C and at various laser fluences from a Bi-excess SBT target. The background oxygen pressure was maintained at 28 Pa during the film deposition. Structural characterization of the films was performed by x-ray diffraction. Atomic force microscopy was used to investigate morphology and growth of the films. The films grew with preferred (115) or (116) orientation. The roughness was of the order of unit cell height. The films display a growth pattern resulting in corrugated film morphology.  相似文献   

11.
Abstract

The reaction of tantalum ethoxide with a glycol solvent produces the interchange of the ethoxide groups with the glycol. As a result, a polymeric derivative is formed with a high resistance towards hydrolysis. Compounds of Sr(II) and Bi(II) can be added to this Ta-glycol sol, leading to strontium bismuth tantalate (SBT) precursor solutions stable in air. These solutions were spin-coated onto two substrates: Pt/TiO2/SiO2/(100)Si and Ti/Pt/Ti/SiO2/(100)Si. Crystallisation of the SBT phase was carried out by a first formation of a fluorite phase that evolves to the layered perovskite at temperatures over 600°C. During crystallisation, a larger tendency to the formation of a substrate/film interface was observed in the films deposited onto Ti/Pt/Ti/SiO2/(100)Si than onto Pt/TiO2/SiO2/(100)Si. A remanent polarisation of Pr5 μC/cm2 and a coercive field of Ec <100 kV/cm were measured in the films on Pt/TiO2/SiO2/(100)Si. These films retain its remanent polarisation, Pr, up to 105seconds and are fatigue-free up to 109 cycles.  相似文献   

12.
The paper reports on synthesis, sintering and microstructure of Bi2/3Cu3Ti4O12, a lead-free, high-permittivity material with internal barrier layer capacitor behavior. Complex impedance and capacitance of the ceramic and thick films were studied as a function of frequency (10 Hz–2 MHz) and temperature (−170 to 400°C). Dc electrical conductivity of the samples was measured in the temperature range 20–400°C. Broad and high maxima of dielectric permittivity versus temperature plots were observed reaching 60,000 for ceramic and 5,000 for thick films. The maxima decrease and shift to higher temperatures with increasing frequency. Two arcs ascribed to grains and grain boundaries were found in the plots of imaginary part versus real part of impedance. Analysis of the impedance spectra indicates that Bi2/3Cu3Ti4O12 ceramic could be regarded as electrically heterogeneous system composed of semiconducting grains and less conducting grain boundaries. The developed thick film capacitors with dielectric layers based on Bi2/3Cu3Ti4O12 exhibit dense microstructure, good cooperation with Ag electrodes, high permittivity up to 5,000 and relatively low temperature coefficient of capacitance in the temperature range 100–300°C. Broad maxima in the dielectric permittivity versus temperature curves may be attributed to Maxwell–Wagner relaxation.  相似文献   

13.
Abstract

In this study, integration of an hydrogen barrier into a FeRAM process flow is investigated. It is reported in the literature that ferroelectric properties can be maintained after hydrogen annealing by using IrOx as a top electrode [16][17][18]. Advantage of materials like IrOx is less catalytic activity compared to Pt. However, we found that IrOx is not a promising candidate for top electrode barrier. (Pt)/IrOx/SBT/Pt capacitors are prone to shorting or exhibit high leakage. IrOx films are very easily reduced by reducing ambient which will result in peeling off. Also, IrOx films tend to oxidize Ti or TiN layers immediately. Therefore, other barrier materials or layer sequences like Ir/IrOx have to be considered.

For protection of the entire capacitor an Encapsulation Barrier Layer (EBL) is required. In this study, LPCVD SiN is used. LPCVD SiN is a standard material in CMOS technology. Production tools are available and it is well known as hydrogen barrier. By modifying the deposition process and using a novel process sequence, no visual damage of the capacitors after SiN-deposition and FGA is seen. Also, no degradation of electrical properties after capacitor formation as well as after SiN-deposition and FGA is observed. However, after metal 1 and metal 2 processing, 2Pr values at 1.8V are reduced from 12μC/cm2to 2μC/cm2. Polarization at 5.0V is not affected.  相似文献   

14.
We propose the “Flux-mediated epitaxy” as a novel concept for the growth of single crystalline films of incongruent, volatile, and high-temperature-melting compounds. In flux-mediated eptitaxy, by supplying materials precursors from the gas phase through the liquid flux films pre-deposited on the substrate, a quasi-thermodynamic equilibrium condition is obtained at the interface between the growing films and the flux films. This process has been demonstrated in this paper by fabricating ferroelectric Bi4Ti3O12 films, which has volatile Bi oxide. The most important step in this process is the selection of the right flux material, which is hard to predict due to the lack of an appropriate phase diagram. In order to overcome this problem, we have selected the combinatorial approach. A series of ternary flux libraries composed of two self-fluxes (Bi2O3 and Bi4Ti3O12) and a third impurity flux were fabricated on SrTiO3 (001) substrates. After that, stoichiometric Bi4Ti3O12 films were grown on each of these flux libraries at a temperature presumed to melt the flux. High-throughput characterization with the concurrent X-ray diffraction method resulted in the identification of CuO containing Bi2O3 as the flux material for the growth of single crystalline Bi4Ti3O12 films. Stoichiometric Bi4Ti3O12 films fabricated by using a novel CuO containing Bi2O3 are qualified to be single crystalline judging from their large grain size and the electrical properties equivalent to bulk single crystal’s.  相似文献   

15.
Pure and Nd-modified Bi4Ti3O12 ceramics were prepared using the conventional solid state reaction method and their dielectric properties and mechanical properties are investigated. It shows that the activation energy of oxygen vacancies is enhanced whereas the concentration of oxygen vacancies is reduced when Bi3+ ions are partially substituted by Nd3+ ions. The Cole-Cole fitting to the dielectric loss reveals a strong correlation among oxygen vacancies. The strong correlation reduces the activation energy of oxygen vacancies efficiently. Therefore, we conclude that the diluted oxygen vacancies concentration is the origin of the excellent fatigue resistance of Nd-modified Bi4Ti3O12 materials.  相似文献   

16.
PbZr0.58Ti0.42O3 (PZT) ferroelectric thin films with Bi3.25La0.75Ti3O12 (BLT) buffer layer of various thickness were fabricated on Pt/TiO2/SiO2/p-Si(100) substrates by rf-magnetron sputtering method. The pure PZT film showed (111) preferential orientation in the XRD patterns, and the PZT/BLT films showed (110) preferential orientation with increasing thickness of the BLT layer. There were no obvious diffraction peaks for the BLT buffer layer, for its thin thickness in PZT/BLT multilayered films. There were the maximum number of largest-size grains in PZT/BLT(30 nm) film among all the samples from the surface images of FESEM. The growth direction and grain size had significant effects on ferroelectric properties of the multilayered films. The fatigue characteristics suggested that 30-nm-thick BLT was just an effective buffer layer enough to alleviate the accumulation of oxygen vacancies near the PZT/BLT interface. The comparison of these results suggests that the buffer layer with an appropriate thickness can improve the ferroelectric properties of multilayered films greatly.  相似文献   

17.
Abstract

CeO2 and SrBi2Ta2O9 (SBT) thin films for MFISFET (metal-fcrroelectrics-insulator-semiconductor field effect transistor) were deposited by rf sputtering and pulsed laser deposition method, respectively. The effects of oxygen partial pressure during deposition for CeO2 films were investigated. The oxygen partial pressure significantly affected the preferred orientation, grain size and electrical properties of CeO2 films. The CeO2 thin films with a (200) preferred orientation were deposited on Si(100) substrates at 600°C. The films deposited under the oxygen partial pressure of 50 % showed the best C-V characteristics among those under various conditions. The leakage current density of films showed order of the 10?7~10?8 A/cm2 at 100 kV/cm. The SBT thin films on CeO2/Si substrate showed dense microstructure of polycrystalline phase. From the C-V characteristics of MFIS structure composed of the SBT film annealed at 800°C, the memory window width was 0.9 V at ±5 V. The leakage current density of Pt/SBT/CeO2/Si structure annealed at 800°C was 4×10?7 A/cm2 at 5 V.  相似文献   

18.
The plate-like Bi4Ti3O12 particles were prepared by molten salt synthesis method. The influence of sintering temperature and cooling process on the microstructure of Bi4Ti3O12 powders was studied. Much larger particles were formed at higher temperatures. The particles could grow larger in slow cooling process. The formation mechanism of plate-like Bi4Ti3O12 particles in Na2SO4-K2SO4 system could be viewed as four processes: (1) solid reaction and nucleation, (2) plate-like structure formation, (3) diffusion and edge nucleation, (4) diffusion and epitaxial growth.  相似文献   

19.
A new low-temperature processing method to prepare SrBi2Ta2O9 thin films is proposed. These thin films were prepared on Pt/Ta/SiO2/Si substrates by a sol-gel method, and their structural and electrical properties were investigated. Films were annealed before and after the top Pt electrode deposition. The first annealing was performed in a 760-Torr oxygen atmosphere at 600 °C for 30 min, and the second annealing was performed in a 5-Torr oxygen atmosphere at 600 °C for 30 min. The films were well crystallized and fine-grained after the second annealing. The electrical characteristics of the 200-nm-thick film obtained by this new process were as follows: remanent polarization, Pr = 8.5 μC/cm2; coercive field, Ec = 36 kV/cm; and leakage current density, IL = 1 × 10−7 A/cm2 (at 150 kV/cm). This process is very attractive for highly integrated ferroelectric nonvolatile memory applications. © 1997 Scripta Technica, Inc. Electr Eng Jpn, 120(2): 27–33, 1997  相似文献   

20.
Ferroelectric properties of samarium substituted Bi4Ti3O12 films, Bi3.15Sm0.85Ti3O12 (BST), were evaluated for use as lead-free thin film ferroelectrics for FeRAM applications. The BST films were fabricated on the Pt/Ti/SiO2/Si(100) substrates by a metalorganic solution deposition method. The measured XRD patterns revealed that the BST films showed only a Bi4Ti3O12-type phase with a random orientation. The BST film capacitors showed excellent ferroelectric properties. For the film capacitor annealed at 700C, 2Pr of 64.2 C/cm2 and 2Ec of 101.7 kV/cm at applied electric field of 150 kV/cm were observed. The capacitor did not show any significant fatigue up to 1.5 × 108 read/write switching cycles at a frequency of 1 MHz, which suggests that the samarium should be considered for a promising lanthanide elements to make a good thin ferroelectric film for memory applications.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号