首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
Abstract

Pb(ZrxTi1?x)O3 (PZT) ferroelectric thin films were prepared by metalorganic chemical vapor deposition (MOCVD) on Pt/Ti/SiO2/Si substrate. Very thin PZT films, which were deposited at a lower temperature and post-annealed at higher temperature for crystallization, were used as a seed layer. PZT films grown on the seed layer exhibited superior characteristics in the crystalline structure and electrical properties, compared to those deposited without seed layer. Depending on the deposition conditions of PZT seed layer, a wide variation of surface morphology and stoichiometry was found between samples, whereas chemical composition was found to be very similar.  相似文献   

2.
Abstract

Dry etching of PZT thin film capacitors with RuOx/Pt multilayered electrodes was studied to examine the etching effects. PZT films were deposited on RuOx/Pt/Ti/SiO2/ Si substrates by sol-gel process and Pt films were prepared by DC magnetron sputtering. PZT and Pt thin films were etched with Cl2/C2F6/Ar gas combination in an Inductively Coupled Plasma (ICP) by varying the etching parameters such as coil RF power, DC bias to wafer susceptor, and gas pressure.

Etching effects were investigated in terms of etch rate, etch selectivity, etch profiles, and electrical properties of etched capacitors. Quantitative analysis of the etching damage was obtained by calculating the shift of the coercive field and the switchable polarization in hysteresis loops. Finally, the etching damage mechanism was discussed and the optimization of etching processes for the fabrication of PZT capacitors was attempted to minimize the etching damage to ferroelectric capacitors.  相似文献   

3.
ABSTRACT

We have used silicon carbide (SiC) thin films as an insulating material of the PZT micro cantilevers for electrical and biological passivation. The use of SiC thin films as a passivation layer of the PZT microcantilevers is also seemingly viable to insure the high mass sensitivity as well as the stable passivation. In this study, we report the effect of SiC passivation layer on the performance of the PZT microcantilevers. The micromachined PZT microcantilevers having a structure of SiNx/Ta/Pt/PZT/Pt were fabricated through MEMS processes. In order to improve the mass sensitivity and the passivation, SiC thin films of the high elasticity material were deposited on the cantilever using plasma enhanced chemical vapor deposition (PECVD) at the temperature of 400°C. Plane-strain modulus of SiC thin film was measured by nanoindentation. We observed that SiC thin films showed higher Young's modulus than Si and SiO2. Before and after the deposition of SiC thin films, the end-tip deflection and the resonant frequency change of microcantilevers were measured by a confocal microscope and an impedance analyzer. It was confirmed that end-tip deflection of microcantilever was reduced by 13~18% through the deposition of SiC thin films, indicating the stress relaxation of the microcantilevers.  相似文献   

4.
Abstract

The ferroelectric capacitors are fabricated using RuO2/Pt electrode to examine the electrode effect on ferroelectric properties. PZT films are prepared by metalorganic decomposition (MOD) on sputter deposited electrodes. In particular, inductively coupled plasma(ICP) etcher is used to minimize the etching damage. In addition, TiO2 reaction barrier layer is also employed to retard the degradation of ferroelectric properties due to the reaction between a passivation layer and PZT film. The better hysteretic properties were obtained from Pt/RuO2/PZT/RuO2/Pt ferroelectric capacitors. The enhancement of ferroelectric properties is likely attributed to the modification in the microstructure of PZT film. The interfacial modification would be affected by the factors such as surface roughness, stress, and porosity of RuO2 film. The result implies RuO2/Pt would be a good electrode for a nonvolatile memory application.  相似文献   

5.
A miniature microphone with silicon-based lead zirconate titanate (PZT) thin films has been fabricated and tested. The main structure of the device is composed of Al/Pt/PZT/Pt/Ti/SiO2/Si3N4/SiO2/Si multi-layer diaphragm. The PZT thin films have been prepared using an improved sol-gel method. Optimized fabrication process of the device has been developed, especially, RIE (reactive ion etching) and IBE (ion beam etching) processes have been used to etch the PZT thin film and electrode metal successfully. The sensitivity of microphone is 16 mV/Pa at 1 KHz and 158 mV/Pa at the resonant frequency of 17.3 KHz. The electrical and thermal reliability of the microphone is satisfactory. This miniature microphone can be widely used in hearing aids, mobile phones, and many other applications.  相似文献   

6.
We have fabricated piezoelectrically driven micro-transducer for the application to smart device. Two types of micro-transducers, i.e., micro-cantilever and micro-bridge, have been designed and fabricated. Finite element method (FEM) simulation was performed on the micro-transducer with various length and shapes. Lead zirconate titanate thin film as a piezoelectric layer was incorporated into the micro-transducer. The transducer structure consists of PZT thin layer capacitor, low temperature oxide (LTO) and low stress SiNx layer. Pb(Zr0.52Ti0.48)O3 (PZT) films were prepared by diol-based sol-gel process. The PZT films were not damaged by fabrication process and thus maintained their electrical properties in the transducer structure after all the fabrication steps. The dielectric constant and loss of the PZT film in the transducer structure were 870 and 2% respectively. The remanent polarization was 20 μC/cm2. The micro-cantilever had a fundamental resonant frequency in the range of 16 to 25 kHz when its length was in the range of 320 μm to 380 μm. Meanwhile, the resonant frequency of the micro-bridge was higher by a factor of 7 than that of the micro-cantilever with a similar dimension.  相似文献   

7.
Abstract

Pb(Zh x , Ti1-x )O3(PZT) thin films were deposited on Si substrates using MgTiO3 as the buffer layer and the electrical properties of those MFIS structures were investigated. PZT and MgTiO3 films were made by MOCVD using ultrasonic spraying technique. Perovskite PZT films have been succesfully made at the substrate temperature of 550 to 600°C only when using MgTiO3 buffer layer. AES depth profile analysis and RBS analysis revealed that there is no remarkable interdiffusion and no formation of reaction layer between PZT and MgTiO3 and/or between MgTiO3 and Si substrate. The capacitance-voltage (C-V) curves of the MFIS structure which were made with PZT and MgTiO3buffer layer have shown the hysteresis resulted from the ferroelectric switching of the PZT films.  相似文献   

8.
Abstract

Little is reported in the literature on the wet etching of PZT and all wet etch recipes described use high concentrations of hydrofluoric acid (HF). Here, a novel recipe which operates in a new regime of extremely low HF concentration is used to wet etch thin film PZT. The recipe provides excellent etch control, minimizes undercut and does not attack photoresist. Unlike most wet PZT etchants it does not leave a lead-rich residue, and the etchant is selective over SiO2 and ZrO2. The recipe and preliminary etching results for 5 micron-thick sol-gel deposited PZT films are described in this paper.  相似文献   

9.
Abstract

Perovskite SrRuO3 (SRO) layer was, for the first time, been successfully synthesized by using metal-organic decomposition (MOD) process. The presence of SRO buffer layer on Pt(Si) substrates has significantly enhanced the crystallization and densification behavior of the subsequently deposited Pb(Zr0.52Ti0.48)O3 films. The pyrochlore free perovskite phase can be obtained by post-annealing the PZT/SRO/Pt(Si) films at 500°C, which is 50°C lower than that needed in PZT/Pt(Si) films. The fine grain (~0.3 μm) microstructure can be attained by post-annealing at 650°C for PZT/SRO/Pt(Si) films and 700°C for PZT/Pt(Si) films. The ferroelectric hysteresis properties of the two PZT films are comparable to each other. The leakage current properties of PZT/SRO/Pt(Si) films increased pronouncedly with post-annealing temperature, resulting in inferriar leakage behavior to PZT/Pt(Si) films.  相似文献   

10.
A planar multi target sputtering technology was used to deposit highly (111) oriented Pb(Zr x Ti1–x )O3 (PZT) thin films with x ranging from 0–0.6. The preparation of a stable Pt/ZrO2 electrode is described and analyzed in terms of stress and stress-temperature behavior. The PZT films with low Zr content are under compressive stress after deposition. The dielectric constant and loss peaks occur at a composition close to the morphotropic phase boundary. Films on the tetragonal side of the phase diagram with a Zr content up to about 25% exhibited a strong self polarization and strong voltage shifts in the C(V) curves. High pyroelectric coefficients of >2×10–4 C/(m2K) have been measured on these films without additional poling. The self polarization fades out with increasing Zr content. The low values of the pyroelectric coefficient for the PZT film with 60% Zr is discussed in terms of the possible crystallographic variants after distortion and the tensile stress state during the phase transition. Based on the systematic study of stress and electrical properties of PZT films with a wide range of composition presented in this paper, films with a Zr content up to about 25% turned out to give the best properties for the use in pyroelectric detector arrays.  相似文献   

11.
Abstract

We have studied sintering and densification of PbZr0.52Ti0.48O3 (PZT) films derived from diol-based sol-gel solutions. We found that densification by sintering began at below 750°C and completed at 850°C in 5 min. Initially, 0.83- μm-thick PZT single-coated films were prepared on Pt/Ti/SiO2/Si substrates from stable propylene-glycol (l,2-propanediol)-based solutions by crystallization at 700°C. The crystallized films consisted of fine perovskite grains and voids. We studied the firing temperature dependence of various properties such as microstructure, crystallinity, and ferroelectric properties for the single-coated films. Finally, 0.54- μm-thick PZT single-coated dense films were prepared by firing at 850°C for 5 min. In order to prepare thicker PZT dense films, we studied low-temperature sintering of PZT multicoated thick films. Using this approach, 1.7- μm-thick PZT dense films were prepared by firing at 850°C for 5 min.  相似文献   

12.
Abstract

PZT(52/48) thick films with Pb-based complex oxide (PCW) additive were prepared on Pt/TiO2/YSZ/SiO2/Si substrate by screen printing method. PCW addition and PZT sol application are performed to fabricate high density PZT thick film and to lower sintering temperature. With the increase of sintering temperature, electrical properties of screen-printed films were improved. Further, for the sol-gel treated thick films, the electrical properties were improved as compared to only screen-printed films. For the PZT-0.12PCW thick films with sol-treated and sintered at 900°C, the remanent polarization (Pr) was about 23.8 μC/cm2 at the applied filed of 150 kV/cm2, the dielectric permittivity (Ωr) was 1024 at the frequency of 100 kHz, and the piezoelectric coefficient (d33) was 339 pC/N at the applied pressure of 1 atm. Finally, the application of these PZT thick films to piezoelectric actuator is described.  相似文献   

13.
《组合铁电体》2013,141(1):659-664
Ferroelectric Pb(Zr1 ? x Ti x )O3 (PZT) films were deposited on (001) MgO single crystals using sol-gel method. Structural properties and surface morphologies of PZT films were investigated using an X-ray diffractometer and a scanning electron microscopy, respectively. The dielectric properties of PZT films were investigated with the dc bias field of 0–135 kV/cm using interdigitated capacitors (IDC) which were fabricated on PZT films using a thick metal layer by photolithography and etching process. The small signal dielectric properties of PZT films were calculated by a modified conformal mapping method with low and high frequency data, such as capacitance measured by an impedance gain/phase analyzer at 100 kHz and reflection coefficient (S-parameter) measured by a HP 8510C vector network analyzer at 1–20 GHz. The IDC on PZT films exhibited about 67% of capacitance change with an electric field of 135 kV/cm at 10 GHz. These PZT thin films can be applied to tunable microwave devices such as phase shifters, tunable resonators and tunable filters.  相似文献   

14.
Abstract

We report the crystalline quality and electrical properties of PbZrxTi1?xO3 (PZT) films on n-type Si(100) substrates with CeO2/SiO2 dual buffer layers. PZT films and CeO2 buffer layers were prepared by pulsed laser deposition technique, and SiO2 buffer layers were formed by thermal dry oxidation. It was found that CeO2/SiO2 dual buffer layers effectively prevented Si and Pb interdiffusion between PZT and Si substrates. Furthermore, the capacitance-voltage (C-V) characteristics of the PZT/CeO2/SiO2/Si heterostructures demonstrated ferroelectric switching properties, showing a memory window as large as 2.7 V at 1 MHz.  相似文献   

15.
Abstract

The Deposition by Aqueous Acetate Solution (DAAS) technique has been developed for the preparation of thin films of Pb(Zr0.53Ti0.47)O3[PZT(53,47)] perovskites. This process, which employs titanium acetate, tends to establish a chemically bound network in the pre-annealed phase and facilitates the crystallization of ferroelectric lead perovskites at a relatively low temperature. The addition of surface wetting reagents and oxidants and the action of ultrasonic waves were shown to affect the crystallinity and film quality of PZT(53, 47) perovskites on Pt<111>/Ti/SiO2/Si<100> substrates. The band structure analysis of the FTIR spectrum is illustrated to be a simple way of monitoring the crystallization of PZT(53, 47) perovskites. Physical and electrical characterization of the resultant thin films were performed. The advantages of the DAAS process for fabricating thin ferroelectric films are examined.  相似文献   

16.
Abstract

Effects of insulator layers of Metal(Au)/Ferroelectrics(PZT)/Insulator/Si (MFIS) structure capacitors are investigated for non-destructive type non-volatile memory device applications. Various high dielectric oxide layers such as Al2O3, Ta2O5, TiO2 and ZrO2 were fabricated by reactive sputtering as insulating layers. The oxide insulators give significant impacts on the morphologies of PZT layer and the properties of capacitors. It is noted that the oxide layers with small thermal expansions (<6x10–6/°C) coefficient caused cracks on PZT films during PZT crystallization annealing. The effects of insulators as a diffusion barrier are also comparatively studied using Auger electron spectroscopy. In addition, the characteristics of high dielectric solid solution, such as titanium oxide-zirconium oxide, are also studied.  相似文献   

17.
Abstract

Fluorine-doped silicon oxide (SiOF) as interlayer dielectric (ILD) was deposited over PZT capacitors by electron cyclotron resonance (ECR) chemical vapor deposition using SiF4 and N2O gases. In the conventional deposition of SiO2 ILD layer using hydrogen-contained source gases, the properties of ferroelectric capacitors are known to be degraded during the formation of SiO2 layer. In this study, we examined the degradation of electrical properties of SiOF-deposited PZT capacitors. The remnant polarization and leakage currents were not degraded after the deposition of SiOF. We observed that the fluorine atoms were not diffused into the metal electrode in both cases of the SiOF deposited PZT capacitors and post-deposition annealed capacitors. The SiOF films deposited in the high CF4 flow rate exhibited rough columnar structure on the metal electrodes. We can successfully deposit SiOF in a smooth morphology by introducing TiO2buffer layer or using the novel deposition method of changing the SiF4 flow rate, namely two-layer-deposition method.  相似文献   

18.
Abstract

A modified metal-organic decomposition process, MOD has been successfully utilized to improve the ferroelectric properties of Pb(Zr0.52Ti0.48)O3, PZT, thin films. Multilayer PZT/Pt(Si) films, which contain 0.12 μm layer of spin coated PbO-excess (10 mol%) precursors on top of 0.12 μm layer of stoichiometric PZT precursors, exhibit superior ferroelectric properties (Pr=14.2 μC/cm2; Ec=62 kV/cm) to the single layer PZT/Pt(Si) films of the same thickness (0.24 μm), which are either stoichiometric or 10 mol% Pb-enriched. The ferroelectric properties are further improved when the PZT films were synthesized using a thin pulsed laser deposited (PLD) prenucleation layer (0.06 μm). The subsequently MOD-prepared PZT films posses high remanent polarization (Pr=23.2–26.6 μC/cm2) and low coercive field (Ec=62.9–69.0 kV/cm).  相似文献   

19.
Abstract

Sb doped reactive sputtering-derived Pb(Zr, Ti)O3 (Zr/Ti=48/52) thin films were investigated with the intention of improving ferroelectric properties. Also, the atomic valence of Sb in PZT thin film was confirmed as trivalent cation (Sb3+) by x-ray photoelectron spectroscopy (XPS). According to the tolerance factor t, Sb3+ tends to occupy the B-site of ABO3 perovskite structure and acts as an acceptor that generates oxygen vacancies and holes. Transmission Electron Microscope(TEM) was used to observe the structural changes of PZT thin films by Sb addition. The leakage current densities and Pr of PZT thin films increased as the Sb contents increased. 0.7at% Sb doped PZT(PZST07) thin films exhibited improved fatigue properties (about 10% degradation of the remanent polarization after 1010 switching cycles).  相似文献   

20.
ABSTRACT

Piezoelectric properties of highly (111)-oriented unetched, ion beam etched (IBE) and reactive ion beam etched (RIBE) PbZr0.35Ti0.65O3 (PZT) thin films have been investigated on the nanoscale by piezoelectric force microscopy. Crystallization of the films was performed before or after etching. Piezoelectric contrasts imaging reveals a clear modification of the domain architecture for all the films etched after crystallization; also, within grains, local piezoelectric hysteresis loops measurements show that coercive voltage increases about 130% (1.0 V to 2.3 V) for ion beam etched films while there is no significant change for reactive ion beam etched films. No evolution of coercive voltage is evidenced for films crystallized after ion beam etching. These results are explained in terms of domain-wall pinning in the film. Piezoelectric activity is shown to be similar for all the etched films; it is only slightly reduced of about 10% in comparison to the one measured for unetched film. On the other hand, theoretical considerations using a simple charge model indicate that the depth of the ellipsoid taken into account at the surface of the film for these measurements is about 20 nm.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号