首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
线阵CCD驱动时序及信号采集系统的设计   总被引:1,自引:1,他引:0  
文中设计介绍了一种基于FPGA和ARM的线阵CCD传感器驱动时序和信号采集的实现方法。该系统通过分析TCD1707D线阵CCD的驱动时序,采用Verilog HDL硬件描述语言设计出驱动脉冲电路。CCD正常工作后,产生的模拟信号经过预处理和高速A/D转换送入FPGA的基本宏功能模块FIFO(先进先出数据缓存器),通过异步缓存实现ARM处理器对采集信号的主控及后续应用。线阵CCD驱动时序及信号采集系统,是基于CCD传感器图像处理系统的重要组成部分,经过上位测试平台验证,能够提供准确的数字图像信号。  相似文献   

2.
光谱仪的微型化是光谱仪的发展趋势,其中具有高灵敏度、高信噪比、光谱响应宽、体积小特性的线阵CCD用作光电探测器成为研究热点。针对传统对CCD驱动电路遇到参数修改情况而需要改变硬件电路或重复烧写程序的缺点,以线阵CCD-ILX554B配合CCD专用信号处理芯片AD9826为例,结合FPGA控制芯片搭建了一个CCD驱动与信号采集系统。通过研究CCD与AD9826内部结构并分析控制驱动时序,结合Verilog HDL语言,设计了CCD工作模式、积分时间及工作频率软件可调整的CCD驱动系统,硬件电路设计考虑EMC并设计针对CCD输出信号的处理电路与数据传输模块。实验结果表明,该设计成功地驱动了线阵CCD并实现了模式可调,进行数据传输,且电路体积小、控制时序精确,可用作微型光谱仪的光电探测电路。  相似文献   

3.
CCD器件是现代视觉信息获取的一种基础器件,在可视图像及光谱分析中得到了越来越广泛的应用。对Sony公司的ILX554B型线阵CCD工作原理进行分析,针对驱动信号和数据采集、处理的具体问题提出了切实可行的解决办法。并利用Xilinx公司的集成综合环境(ISE)下的原理图输入工具(ECS)和有知识产权的集成电路芯核(IP),使用Verilog HDL语言层次化设计了CCD的驱动时序和积分时间控制单元,完成了模拟信号的快速模一数转换数据安全暂存,先对整个时序进行了功能仿真;利用现场可编程逻辑器件(FPGA)芯片实现了对型号为ILX554B线阵CCD驱动时序信号和数据采集电路,并在便携式光谱光度计中得到应用。  相似文献   

4.
针对柯达公司的前照明行间转移型面阵CCD KAI-0340,对它的驱动时序进行详细的分析,设计满足CCD工作脉冲的驱动时序。采用Altera公司的可编程逻辑器件(FPGA)作为核心控制器件,完成自顶而下的模块设计,实现了硬件电路设计的软件化,开发效率得到了提高,软件程序可重复编程和修改。实验的仿真结果表明,设计的驱动时序能够满足CCD KAI-0340的正常工作。  相似文献   

5.
为了实现对加工中心刀具磨损的精密检测,设计了一种基于面阵CCD的刀具磨损精密检测系统。首先,使用激光作为光源,根据坐标转换原理设计了系统结构,利用FPGA产生面阵CCD所需的驱动时序信号;然后,将CCD输出的模拟信号经过信号处理电路转换为二值化数字信号,最后,由FPGA采集发送到上位机中使用LOF算法进行异常点剔除处理。实验测试表明,该系统磨损检测精度可达1μm。该系统具有体积小、精度高,测量速度快,输出信号稳定,抗干扰能力好等特点,可广泛用于刀具磨损检测。  相似文献   

6.
基于FPGA+ADSP的线阵CCD非接触测量系统   总被引:2,自引:2,他引:0  
葛鹏  刘涛  李奇 《光学仪器》2008,30(5):1-4
提出了基于FPGA和ADSP的线阵CCD非接触测量技术。选用线阵CCD作为前端信号采集;采用FPGA产生与控制整个系统的时序:CCD工作时序、A/D转换时序、ADSP采集数据同步时序;采用多次扫描平均方法形成一维数字图像;利用DSP高速图像处理性能并设计高性能的浮动阈值二值化算法对其处理。给出了时序仿真图,满足系统的时序要求;并给出了测量物体的波形。通过对光学系统的定标最终给出了物体的长度。数据表明,相比传统的测量系统,该系统具有高速和高精度的优点。  相似文献   

7.
一种基于FPGA的线阵CCD驱动器的设计   总被引:3,自引:0,他引:3  
本文介绍了一种基于FPGA设计产生线阵CCD TCD1208AP芯片复杂驱动电路和整个CCD的电子系统控制逻辑时序,并给出了时序仿真波形.工程实现结果表明:该驱动电路结构简单、功耗小、成本低、抗干扰能力强,适用于工程小型化的要求.  相似文献   

8.
以TCD1708D为感光元件设计了高速线阵CCD驱动与数据采集系统。分析了CCD同步时序的要求,选用EP4CE10F17C8作为主控芯片,利用Verilog HDL语言设计CCD驱动信号,设计了驱动硬件电路驱动CCD得到准确的输出。分析了CCD输出信号特征,使用虚拟仪器技术完成了对CCD奇偶双路模拟输出信号的采集,以LabVIEW为平台开发了上位机软件,采用NI-DAQmx驱动程序控制PCI-6115,实现了高速线阵CCD数据采集,并且能够实时显示CCD波形、图像数据。实验结果表明采集的数据边缘特征清楚,该系统结合了FPGA和虚拟仪器技术的优势,驱动运行稳定,数据采集准确,程序可移植性强。  相似文献   

9.
基于CPLD的面阵CCD驱动时序发生器设计   总被引:4,自引:2,他引:2  
CCD技术在图像传感和非接触测量领域发展前景广阔。CCD驱动时序的产生是其应用的关键。在分析Sony公司的ICX205AL型面阵CCD器件驱动时序关系的基础上,设计了其驱动时序发生器。选用复杂可编程逻辑器件(CPLD)作为硬件设计平台,使用Verilog硬件描述语言对该驱动时序发生器进行了硬件描述。所设计的驱动时序发生器采用ispLEVER软件进行了功能仿真,并针对Lattice公司的可编程逻辑器件LC4256V-75T100I进行了硬件适配。实际测试表明,所设计的驱动时序发生器能够满足面阵CCD的驱动要求,实现了设计目的。  相似文献   

10.
严帅 《光学仪器》2015,37(3):249-252
设计了一种基于Altera的FPGA芯片EP4CE10F17C8以及基于Cortex-M3构架的ARM处理器STM32F103VE,该系统通过FPGA对线阵CCD进行时序的驱动,并完成像素信号的采集、硬件处理以及传输工作。ARM作为FPGA的外挂处理器,实现数据信息的软件处理以及对整个系统的控制。介绍了该系统的基本原理,并给出了详细的基于FPGA和ARM的软硬件联合设计方案。  相似文献   

11.
设计了利用单片线阵CCD实现了物体宽度检测装置。该系统由主控芯片、线阵CCD、电压匹配电路、信号采集、数据处理和显像构成,用FPGA驱动CCD并将输出信号进行数据处理实现了物体宽度的检测。实验结果表明:该装置能够实现对单线阵CCD的驱动,能够实现物体宽度的基本检测。  相似文献   

12.
基于CPLD的面阵CCD驱动电路的设计   总被引:2,自引:1,他引:1  
针对面阵型CCD器件TC341驱动时序的要求,提出了一种基于可编辑逻辑器件(CPLD)实现的CCD驱动电路的设计方案.分别设计了供电电压模块、偏置电压产生模块、驱动器模块以及时序产生模块.用Quartus II 9.1软件对时序驱动设计进行了仿真,并给出了仿真结果.结果表明,所设计的驱动时序电路完全满足面阵CCD TC341驱动时序的要求.  相似文献   

13.
中阶梯光栅光谱仪CCD相机的设计   总被引:2,自引:0,他引:2  
为了高精度采集中阶梯光栅光谱仪的谱图,设计了一种适用于中阶梯光栅光谱仪原理样机的高性能面阵CCD相机。首先,根据中阶梯光栅光谱仪的谱图特点和CCD芯片的特性,设计了面阵CCD相机的时序产生电路、驱动电路及数据采集处理电路,实现了面阵CCD相机的低噪声、高灵敏度以及高动态范围。然后,利用LabVIEW编写了CCD相机测试软件。最后,利用设计的面阵CCD相机对汞灯谱线进行了测试。结果表明:面阵CCD相机获取的二维谱图图像清晰、信噪比较高;经二维谱图还原后,可以得到标准的汞灯谱线。该相机性能稳定、可靠,满足中阶梯光栅光谱仪原理样机的研制要求。  相似文献   

14.
为了高精度采集中阶梯光栅光谱仪的谱图,设计了一种适用于中阶梯光栅光谱仪原理样机的高性能面阵CCD相机.首先,根据中阶梯光栅光谱仪的谱图特点和CCD芯片的特性,设计了面阵CCD相机的时序产生电路、驱动电路及数据采集处理电路,实现了面阵CCD相机的低噪声、高灵敏度以及高动态范围.然后,利用LabVIEW编写了CCD相机测试软件.最后,利用设计的面阵CCD相机对汞灯谱线进行了测试.结果表明:面阵CCD相机获取的二维谱图图像清晰、信噪比较高;经二维谱图还原后,可以得到标准的汞灯谱线.该相机性能稳定、可靠,满足中阶梯光栅光谱仪原理样机的研制要求.  相似文献   

15.
针对背照式CCD47 - 10的驱动时序要求,提出以FPGA作为时序发生器的驱动电路设计方案.通过对时序分析,完成时序发生器、电压偏置电路、驱动器3个模块的硬件电路设计.使用VHDL语言进行逻辑电路设计,实现电路控制、参数配置以及驱动时序产生.最后通过成像实验,对驱动电路性能进行分析.实验表明,该电路能驱动CCD47 - 10在两种模式下稳定工作,满足CCD47 - 10的应用要求.  相似文献   

16.
为提高CCD采集的光栅莫尔条纹信号质量,采用相关双采样法对CCD采集的莫尔条纹信号进行噪声处理,通过对相关双采样原理进行建模和仿真,验证了此方法在噪声相关程度越大的情况下,对噪声的抑制越好.采用一款内部带有相关双采样电路的AD转换器对CCD输出信号进行采集,使用FPGA产生CCD驱动时序、AD驱动时序和配置时序.使用Verilog HDL语言和Quartus Ⅱ软件进行时序程序编写和仿真,仿真结果表明了设计的正确性,因此相关双采样去噪法可以应用在莫尔条纹的采集系统中以提高莫尔条纹的信号质量.  相似文献   

17.
针对角膜图像采集系统开发过程中的面阵CCD图像传感器驱动进行了设计。选用ICX424AL面阵CCD作为图像采集系统的图像传感器,分析了ICX424AL的驱动时序要求,介绍了高性能模拟前端处理芯片AD9949A内部主要电路模块的工作原理及相关电路的寄存器控制方法,实现了基于CPLD与AD9949A的ICX424AL驱动设计。最终测试结果显示,CCD可产生正确的模拟信号输出,将数字视频信号采集到计算机后,能够得到良好的图像采集效果。  相似文献   

18.
基于CPLD的CCD驱动时序电路的设计   总被引:5,自引:0,他引:5  
利用CPLD复杂可编程逻辑器件,结合VHDL硬件描述语言,设计了一种线阵CCD驱动时序电路。并通过MAX+PLUS Ⅱ软件的时序仿真分析,证明该设计方法的可行性。  相似文献   

19.
为了研究电荷耦合器件(CCD)图像传感器件的输出特性,以典型线阵TCD1209D为研究对象,FPGA为核心控制器件,构建线阵CCD输出特性测量系统。系统由CCD驱动模块、数据采集模块、存储模块和RS232数据传输模块构成。通过FPGA编程产生CCD的驱动信号,驱动CCD能够正常工作。经A/D芯片采集模拟信号后,经过处理后的数字信号缓存于FPGA中的FIFO中。由RS232串口传输模块将FIFO缓存器中的的数据传送至PC端。通过改变实验条件,由测量系统对CCD输出信号进行采集,由PC机获得的数据进行实验分析,从而实现了对CCD器件特性的测量。  相似文献   

20.
采用STM32F103VET6作为主控芯片,在该芯片上移植μC/OS-Ⅱ和u CGUI操作及嵌入式图形系统,通过编程控制IO口产生线阵CCD驱动时序,同时采集转换处理CCD传感器的输出信号并显示在触控液晶显示器上,使用u Ve rs ion4进行系统软件开发,实现了一个驱动时序稳定、便捷高效的移动嵌入式线阵CCD图像采集系统。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号