首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 62 毫秒
1.
VHDL语言在数字电路中的设计与应用   总被引:3,自引:1,他引:2  
根据教学实残,介绍了VHDL硬件描述语言进行工程设计的优点。他既是一种与实际技术相独立的语言,不束缚于某一特定的模拟程序或数字装王上,也不把设计方法强加于设计者,他允许设计者在其使用范围内选择工艺和方法,描述能力极强,覆盖了逻辑设计的诸多领域和层次,并支持众多的硬件模型;也是一种在数字电路教学中全新的理论联系实际的教学方法和全新的培养学生实际动手能力的有效工具。同时简要地说明VHDL硬件描述语言的支撑软件Max PlusⅡ。并结合实例详细阐明VHDL语言在Max PlusⅡ软件的环境下对数字电路的设计、应用方法及使用时需注意的几个方面事项。  相似文献   

2.
基于VHDL语言的A/D采样控制器设计   总被引:2,自引:0,他引:2  
罗朝霞 《现代电子技术》2005,28(12):96-97,100
介绍了逐次逼近型模数转换芯片ADC0809的组成、工作原理和如何利用VHDL硬件描述语言中状态机的设计方法设计ADC0809采样控制电路,同时给出采样控制电路在Max PlusⅡ集成开发软件环境下的功能仿真波形,并通过下载电统用设计生成的编程目标文件(.pof)配置Altera公司的CPLD器件EPM7064SLC44—6来实现A/D采样控制器。实践证明设计的电路能够稳定、可靠的工作。  相似文献   

3.
在此将VHDL语言设计的计数器应用于脉搏测量,精确的计量出脉搏跳动,并通过数码管直观地表示出来。显示出VHDL语言设计数字系统与医学的紧密联系及其在医疗实践中的巨大应用前景。实践证明,将EDA技术与医学相结合,不仅能促进EDA技术的深入发展,而且能够极大地推动医学的进步。  相似文献   

4.
基于VHDL的可变速彩灯控制器的设计   总被引:1,自引:0,他引:1  
介绍一种基于VHDL的可变速彩灯控制器的设计方案,该系统无需外加输入信号,只需一个时钟信号就能实现以4种不同速度循环演示8种花型。该系统较以前的传统设计具有硬件电路简单、体积小、功耗低、可靠性高等特点,特别是可以在不修改硬件电路的基础上,仅通过更改软件就能实现任意修改花型的编程控制方案,而且设计非常方便,设计的电路保密性强。  相似文献   

5.
唐炳华  税奇军 《现代电子技术》2010,33(9):116-117,120
数字信号在传输过程中受到干扰的影响,降低了其传输的可靠性,线性分组码作为一种常用的信道编码,在通信传输系统中应用广泛。在对线性分组码的编译码规则研究基础上,讨论了生成矩阵、监督矩阵与错误图样集之间的关系,在Max+PlusⅡ开发环境中,用VHDL语言设计线性分组码编译码器,对其各项设计功能进行了仿真和验证。结果表明,该设计正确,其功能符合线性分组码编译码器的要求。  相似文献   

6.
2FSK信号产生器的FPGA设计   总被引:8,自引:1,他引:7  
徐慧  徐锋 《现代电子技术》2005,28(22):60-61
在EDA技术开发平台上设计实现了一种新型的2FSK信号产生器,利用FPGA产生波形所需的数据,再通过D/A器件输出2FSK波形.详细介绍了基于FPGA的2FSK信号产生器的设计方法,提供了部分VHDL的源代码及在Max+PlusⅡ环境下的仿真结果.整个系统的功能在EDA技术开发平台上均调试通过,具有较高的实用性和可靠性.  相似文献   

7.
一种用VHDL语言设计的数字密码锁   总被引:2,自引:0,他引:2  
为了进一步减少现有数字密码锁系统的规模,提高其性能的灵活性,本文利用EDA技术,用VHDL语言.在MAx PLUSⅡ环境下,设计了一种新型的数字密码锁,它体积小、功耗低、价格便宜、安全可靠,维护和升级都十分方便,具有较好的应用前景。  相似文献   

8.
吴海青  赵明忠  刘砚一 《现代电子技术》2006,29(23):107-108,115
介绍应用VHDL语言设计的出租车计费器的一种新的方案,使其具有模拟出租车起动、停止、暂停和加速等功能,并用动态扫描电路显示出租车所走的里程,显示所走路程需要的费用。所有源程序经Altrera公司的软件Max PlusⅡ调试、优化、仿真正确,下载到Altrera公司的EPF10K10LC84-4芯片中,模拟结果正确。由于使用FPGA芯片,具有外围电路少、成本低、抗干扰能力强等特点,可用于实际的出租车收费系统。  相似文献   

9.
穆磊  姜德生  戴珩 《现代电子技术》2007,30(22):138-139
CCD作为一种新型的光电器件,被广泛地应用于非接触测量,而在CCD工作过程中,其驱动电路的设计成为其应用的关键技术之一。介绍了一种利用VHDL(硬件描述语言)编写线阵CCD驱动程序的实现方法,对TCD1501D型号的CCD工作时序做了分析。详细介绍用VHDL完成TCD1501D驱动时序的源代码,最后用Max PlusⅡ开发软件进行仿真验证,测量和仿真结果表明,该方法结构简单、系统简化,具有可行性。  相似文献   

10.
随着数字技术的发展,可编程逻辑器件得到了越来越广泛的普及和应用。本文介绍了采用Matlab来拓展Altera公司可编程逻辑器件的开发软件Max PlusⅡ的一些方法,他使得设计者在进行复杂设计时更加方便、快捷。  相似文献   

11.
VHDL支持多层次的混和描述,在实际的设计过程中,对于不同层次上的设计需求也是不一样的。将VHDL语言应用于数字电路教学过程中,针对不同的逻辑单元,采用不同的建模方式,可以培养学生的分析问题、解决问题的综合应用能力,使学生尽可能地掌握和使用VHDL这一现代化的设计工具和设计理念。  相似文献   

12.
VHDL语言在数字电路实验中的应用   总被引:1,自引:0,他引:1  
传统数字电路实验通常采用TTL或CMOS芯片,不能满足现代数字系统设计的要求。而应用VHDL的数字电路实验降低了数字系统的设计难度,因而应用更加广泛。通过介绍VHDL语言及VHDL语言的程序结构和设计流程,以数字钟为例描述VHDL语言设计数字电路模块化、自顶向下的设计方法,从而说明VHDL语言在数字电路实验中的优点,对实验教学有一定的指导作用。  相似文献   

13.
分析了传统硬件电路设计的“自下而上”的方式和步骤,针对设计中存在的调试与试验相对滞后的问题,提出了采用“自上而下”的VHDL电路设计方法,按照硬件设计的三个层面,对行为级描述、寄存器传输级描述和逻辑综合进行了说明并给出了电路设计流程,通过SCI设计实例对该设计方法做了进一步的诠释和具体分析,为数字电路的VHDL语言设计提供了可借鉴的思路和方法.  相似文献   

14.
张涛 《现代电子技术》2005,28(2):115-116
分别介绍VHDL语言和PSpice的使用,用实例来说明PSpice在数字电路中的仿真应用。  相似文献   

15.
VHDL在现代EDA中的应用   总被引:2,自引:0,他引:2  
通过一个简单的例子介绍了VHDL语言的应用,对比地给出了功能仿真笔时序仿真的波形,说明了实现电子电路的自动化设计(EDA)过程。  相似文献   

16.
VHDL语言在FPGA/CPLD开发中的应用   总被引:1,自引:1,他引:0  
张凌 《电子工程师》2002,28(4):9-10,30
  相似文献   

17.
用VHDL开发FPGA   总被引:2,自引:0,他引:2  
FPGA凭借其灵活,规模大,开发周期短的优势已成为电子系统设计与实现的一种重要手段,文章VHDL语言的手段,探讨了基于MUX的反熔丝结构的FPGA的有效开发方法。  相似文献   

18.
VHDL语言在描述DES加密机中的应用   总被引:1,自引:0,他引:1  
文章提出了以VHDL语言为手段,介绍了在描述DES加密机的应用,并在Active-HDL模拟验证描述的正确性。  相似文献   

19.
随着集成电路技术的高速发展,VHDL已成为设计数字硬件时常用的一种重要手段。介绍EDA技术及VHDL语言特点,以串行加法器为例,分析串行加法器的工作原理,提出了一种基于VHDL语言的加法器设计思路,给出串行加法器VHDL源代码,并在MAX PLUSII软件上进行仿真通过。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号