首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到19条相似文献,搜索用时 125 毫秒
1.
频率调制连续波(FMCW)的产生(即FMCW信号源)是声表面波射频识别系统频域采样阅读器的重要组成部分。为了满足扫频速度、带宽和线性度等要求,采用直接数字频率合成器(DDS)与锁相环(PLL)混频,并结合IQ调制的方式设计了超高频FMCW信号源。实际制作了信号源电路,DDS芯片输出I、Q两路正交信号,并分别以差分形式传输至IQ调制芯片进行上变频。测试了DDS输出信号的差分、正交特性,分别对信号源产生的单频信号和扫频信号进行了测试。最后搭建系统对声表面波标签进行测试。测试结果表明信号源设计的有效性。  相似文献   

2.
一种高速低功耗直接数字频率合成器的设计与实现   总被引:5,自引:1,他引:5  
郭军朝  王森章 《微电子学》2004,34(5):572-574
根据直接数字频率综合(DDS)的原理,采用各种优化技术,设计了一种高速低功耗直接数字频率合成器。详细介绍了电路结构及优化方法。电路采用Xilinx公司的Virtex器件实现,取得了较好的整体性能。  相似文献   

3.
主要介绍美国模拟器件公司的高性能直接数字频率合成器(DDS)AD9858的性能,给出其内部功能模块,并简要介绍其工作的波形图。重点介绍其在雷达信号源中的应用,给出电路性能对雷达性能的影响,同时给出采用此电路实现的雷达信号源的电路原理图及波形图,介绍重要控制信号的功能。  相似文献   

4.
基于FPGA的DDS正弦信号发生器的设计和实现   总被引:17,自引:0,他引:17       下载免费PDF全文
余勇  郑小林   《电子器件》2005,28(3):596-599
利用FPGA芯片及D/A转换器,采用直接数字频率合成技术,设计实现了一个频率、相位可控的正弦信号发生器,同时阐述了直接数字频率合成(DDS)技术的工作原理、电路结构,及设计的思路和实现方法。经过设计和电路测试,输出波形达到了技术要求,控制灵活、性能较好,也证明了基于FPGA的DDS设计的可靠性和可行性。  相似文献   

5.
用FLEX10K系列PLD实现DDS技术   总被引:2,自引:1,他引:1  
介绍了用FLEX10K系列可编程逻辑器件(PLD)实现直接数字频率合成(DDS)电路的原理、设计方案,以及与专用DDS芯片相比较的性能特点.  相似文献   

6.
介绍了一种利用DDS、PLL和正交调制技术实现超宽带、细步进、低杂散频率源的设计方法。与传统混频锁相相比,采用正交调制技术具有方案简洁、电路简单、输出频带宽、体积小等优点。给出了一种基于AD8349型正交调制器的超宽带频率源设计实例,该设计已在工程中得到应用,其测试结果满足工程要求。  相似文献   

7.
介绍了一种利用DDS、PLL和正交调制技术实现超宽带、细步进、低杂散频率源的设计方法.与传统混频锁相相比,采用正交调制技术具有方案简洁、电路简单、输出频带宽、体积小等优点.给出了一种基于AD8349型正交调制器的超宽带频率源设计实例,该设计已在工程中得到应用,其测试结果满足工程要求.  相似文献   

8.
左向达 《电子产品世界》2022,29(3):73-78,82
本文中设计一套针对中频,简易的模块化幅频特性分析仪实验装置.根据正交乘积法测量频率特性曲线的原理,采用Tiva C LaunchPad单片机评估板做主控制器,控制DDS芯片AD9854产生正交扫频信号,采用模拟乘法器AD835设计正交解调电路,利用单片机及其片上AD完成对两路正交信号采样和数据处理.整个系统包括频率合成...  相似文献   

9.
以AT89S52单片机和FPGA作为测量和数据处理核心,基于DDS技术,并结合I/V变换、A/D采样等外围电路,以及离散傅立叶变换(DFT)等数字信号处理方法,设计并实现了一种简易的无源网络导纳分析仪.另外,系统具有连续测量、频率特性测量及其绘制曲线等功能;还具有性能稳定、结构简单、测量方法巧妙,精度较高,人机交互界面友好等特点.  相似文献   

10.
直接数字频率合成器在FPGA中的设计与实现   总被引:2,自引:0,他引:2  
介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成(DDS)的原理、电路结构、优化方法等.重点介绍DDS技术在FPGA中的实现方法,给出了部分VHDL源程序.FPGA采用ALTERA公司的ACEX系列芯片EP1K30TC-144. 采用该方法设计的DDS系统可以很容易地嵌入到其他系统中而不用外接专用DDS芯片,具有高性能、高性价比,电路结构简单等特点.  相似文献   

11.
基于SOPC的DDS信号源的实现   总被引:2,自引:0,他引:2  
本文介绍了直接数字频率合成(DDS)的工作原理以及基于可编程片上系统(SOPC)实现DDS信号源。设计的DDS信号源以Cyclone器件为核心,用嵌入在FPGA中的N ios软核CPU作为控制来实现频率、相位和幅度的数字预制和步进,利用FPGA的RAM位放置正弦查找表,同时利用FPGA的逻辑单元实现相位累加等其它数字逻辑功能。实现了两路相位完全正交的DDS信号源。  相似文献   

12.
The receiver architecture proposed in this brief seizes the subsampling properties of continuous-time sigma-delta (SigmaDelta) modulators based on distributed resonators to construct a quadrature receiver. The proposed architecture is based on a low-pass SigmaDelta modulator that subsamples an intermediate frequency signal around the sampling frequency and does not require quadrature mixers. Instead, the quadrature mixing is replaced by suitably choosing the sampling instants inside the loop. Two practical circuit implementations are proposed. The first one uses separate circuitry for the I and Q paths. The second architecture introduces an innovative way to produce the I and Q outputs that is immune to path mismatch due to the sharing of all the analog circuitry for both paths. The proposed modulator may be feasible for the typical IF frequencies used in cellular base stations.  相似文献   

13.
介绍了直接数字频率合成(DDS)和直接正交上变频(DQUC)的基本原理,提出了基于DDS和DQUC的宽带多普勒频率模拟器设计方案,该方案在微波频段保持了DDS的所有优点,并抑制了在混频器中上变频时双边带中的无用边带。最后,介绍了基于AD9854和AD8346的直接正交上变频器优化设计的具体措施。并给出了实验结果。  相似文献   

14.
一种基于DDS的S波段频率源设计   总被引:2,自引:0,他引:2  
基于正交调制上变频原理 ,采用AD8346正交调制芯片和DDS芯片AD985 4 ,给出了一种基于DDS的S波段频率源设计方案  相似文献   

15.
In this paper,we describe the impact of quadrature imbalance (QI) in the presence of frequency offset in an optical coherent offset quadrature phase shift keying (OQPSK) receiver.Arbitrary conjugate misalignment was realized in a 2×4 90° optical hybrid,and the ellipse correction (EC) method of quadrature imbalance was applied in our simulation.In the case of transmission,the EC method can significantly improve the system performance.  相似文献   

16.
数字直接频率合成器(DDS)广泛应用于雷达、对抗、通信等领域。利用2个DDS产生频率一致、相位正交的基带I、Q信号,通过正交上变频器,获得2倍于单个DDS带宽的宽带DDS,该方法有效提高了DDS的信号带宽。  相似文献   

17.
为了使直接数字频率合成器(DDS)的IP设计达到资源和效率的较好平衡,提高此类IP设计的灵活性和重用性,应用泰勒插值方法对ROM进行压缩,设计并实现了一种自动生成正交DDS软核的编译器。文中推导了正交DDS内部信号关键参数设定公式,描述了IP编译器的设计流程,给出了实验结果。  相似文献   

18.
Time analog-to-digital converters (TADs) based on the power-supply voltage dependence of CMOS gate propagation delay time can be constructed solely of CMOS digital circuits and are characterized by output of the time integral of input voltage, with no dead time. This paper describes digital quadrature detection (DQD) by TAD (TAD-DQD). With TAD-DQD, the in-phase and quadrature components of the input signal, including amplitude and phase information, can be obtained simply by adding and subtracting AD-converted TAD output using a sampling frequency that is four times the carrier frequency of the target signal. As an example of the application of TAD-DQD, the standard-time and frequency-signal receiver circuits of a radio-controlled clock/watch are shown, and the experimental results demonstrate that the time code can in fact be received.   相似文献   

19.
曾桂根  叶平  郑宝玉  陈伏州 《信号处理》2010,26(9):1306-1311
数字正交解调器是软件无线电(SDR)接收机的重要部件,数字混频正交变换法是实现正交解调器的常用算法。本文针对软件无线电中传统数字混频正交变换法算法,根据理论推导,提出一种适用于多频段中频信号的改进结构的数字混频正交变换法。该改进算法将正交解调与低通滤波两个过程结合在一起实现,并且每输入M个输入采样值做一次输出滤波。通过分析和在可编程器件FPGA上的实验表明,该新结构完全实现了数字混频正交变换法,且能较大地减少所占用的FPGA上的RAM和乘法器资源,在相同的FPGA资源条件下,可以较大地提高中频数字正交解调器的邻道隔离性能,或者大幅度提高所允许的前端模数采样器(ADC)的采样频率。   相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号