首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Aurora®ELK films were fabricated by PE-CVD of a SiCOH matrix precursor and an organic porogen material. The porogen material is removed during a subsequent thermally assisted UV-cure step with a short wavelength UV-lamp (λ < 200 nm). This results in film thickness shrinkage of 13.2% and a robust low-k film with k-value ∼ 2.3, elastic modulus ∼5.0 GPa and intrinsic film stress ∼59 MPa. The microscopic film properties during UV-cure were evaluated by FT-IR. A decrease in the CHx peak area is related to the porogen removal from the film resulting in a reduced dielectric constant. The decrease of the Si-CH3 peak and increase in the SiO network area are associated to the network restructuring and increase in elastic modulus. The nature of the Si-H peaks which appear during UV-cure has to be investigated carefully to determine their impact on film reliability. The dielectric diffusion barrier can work as an UV absorption layer which reduces UV-curing of underlying layers and possible UV reflections on interfaces. The SiCN/A-SiCO diffusion barrier film properties during UV-cure show a decrease in k-value, increase in intrinsic film stress and a slight increase in leakage. More research is needed to evaluate the impact of porogen removal by UV-cure on BEOL integration.  相似文献   

2.
The properties of low-k SiCOH film deposited by plasma-enhanced chemical vapor deposition using trimethylsilane are reported here. The deposition process was performed at different temperatures from 200 to 400 °C. The influence of deposition temperature on the films were characterized using Fourier transform infrared spectroscopy (FTIR) to understand its impact on the studied properties. The films were annealed at ∼450 °C in an inert ambient after deposition in all the cases. The deposition rate decreases with increase in deposition temperature. The refractive index of the films increases as a function of deposition temperature. From FTIR spectra, OH-related bonds were not detected in films even when deposited at 200 °C. The Si-CH3 bonds were detected in all the films and decreased monotonically from 200 to 400 °C. All deposition conditions studied resulted in films with dielectric constant less than 3, the lowest being ∼2.7 when deposited at 200 °C. All films exhibited good thermal stability.  相似文献   

3.
Ultra-thin films of Dy are grown on Ge(0 0 1) substrates by molecular beam deposition near room temperature and immediately annealed for solid phase epitaxy at higher temperatures, leading to the formation of DyGex films. Thin films of Dy2O3 are grown on the DyGex film on Ge(0 0 1) substrates by molecular beam epitaxy. Streaky reflection high energy electron diffraction (RHEED) patterns reveal that epitaxial DyGex films grow on Ge(0 0 1) substrates with flat surfaces. X-ray diffraction (XRD) spectrum suggests the growth of an orthorhombic phase of DyGex films with (0 0 1) orientations. After the growth of Dy2O3 films, there is a change in RHEED patterns to spotty features, revealing the growth of 3D crystalline islands. XRD spectrum shows the presence of a cubic phase with (1 0 0) and (1 1 1) orientations. Atomic force microscopy image shows that the surface morphology of Dy2O3 films is smooth with a root mean square roughness of 10 Å.  相似文献   

4.
Carbon-doped silicon oxide (SiCOH) dielectrics are one of the most suitable candidates for advanced low-dielectric-constant (low-k) interlayer material. To improve water adsorption resistance, the plasma-enhanced chemical vapor deposited SiCOH films have been post-treated by the NH3 plasma for various times, and the resulting SiCOH films are thus examined by water adsorption experiments. The results indicate that the SiCOH films treated by the NH3 plasma exhibit enhanced resistance against water adsorption. Further, Fourier-transform infrared spectroscopy and X-ray photoelectron spectroscopy are used to characterize the chemical composition and bonding states of the pristine and NH3 plasma treated SiCOH films. It is revealed that the plasma surface treatment leads to the formation of Si-N, C(sp3)-N, C(sp2)N, (N-)nSi-C (n = 1-3) configurations, and loss of carbon atoms.  相似文献   

5.
In this study we report the epitaxial growth of BaTiO3 films on Si(0 0 1) substrate buffered by 5 nm-thick SrTiO3 layer using both MBE and PLD techniques. The BaTiO3 films demonstrate single crystalline, (0 0 1)-oriented texture and atomically flat surface on SrTiO3/Si template. The electrical characterizations of the BaTiO3 films using MFIS structures show that samples grown by MBE with limited oxygen pressure during the growth exhibit typical dielectric behavior despite post deposition annealing process employed. A ferroelectric BaTiO3 layer is obtained using PLD method, which permits much higher oxygen pressure. The C-V curve shows a memory window of 0.75 V which thus enable BaTiO3 possibly being applied to the non-volatile memory application.  相似文献   

6.
The dielectric properties of Al/Si3N4/p-Si(1 0 0) MIS structure were studied from the C-V and G-V measurements in the frequency range of 1 kHz to 1 MHz and temperature range of 80-300 K. Experimental results shows that the ε′ and ε″ are found to decrease with increasing frequency while the value of ε′ and ε″ increase with increasing temperature, especially, above 160 K. As typical values, the dielectric constant ε′ and dielectric loss ε″ have the values of 7.49, 1.03 at 1 kHz, and only 0.9, 0.02 at 1 MHz, respectively. The ac electrical conductivity (σac) increases with both increasing frequency and temperature. The activation energy of 24 meV was calculated from Arrhenius plot at 1 MHz. The results indicate that the interfacial polarization can be more easily occurred at low frequencies and high temperatures.  相似文献   

7.
The native oxide removal, surface termination, and stoichiometry of InGaAs(1 0 0) surfaces using liquid and gas phase HF/H2O etching were studied using X-ray photoelectron spectroscopy. Oxide removal in liquid phase HF stopped at the As layer, producing either elemental or H-terminated As. The surface oxidized upon air exposure, forming a 4.8 Å As2O3 layer on an As rich InGaAs sub-surface (17% In, 16% Ga, 66% As). A sub atmospheric gas phase HF/H2O process (100 Torr, 29 °C, 0.5 min) completely removed As2O3 and produced mainly In and Ga fluorides, since As fluoride is volatile at these experimental conditions. Once enough F accumulated on the surface, the water sticking probability decreased and the etching reaction proceeded at a much lower rate. The highest oxide removal (4.2 Å residual oxide) was achieved after 5 min of etching. As2O3 and As2O5 were completely removed and considerably more InF3 and GaF3 were produced. The surface contained a group III-fluoride rich overlayer (34% In, 36% Ga) on a slightly As rich bulk (21% In, 21% Ga, and 58% As). The As rich InGaAs sub-surface produced with both liquid and the longer gas phase HF treatments is intrinsic to HF-InGaAs chemistry, although the oxide removal mechanism is likely different.  相似文献   

8.
Dy thin films are grown on Ge(0 0 1) substrates by molecular beam deposition at room temperature. Subsequently, the Dy film is annealed at different temperatures for the growth of a Dy-germanide film. Structural, morphological and electrical properties of the Dy-germanide film are investigated by in situ reflection high-energy electron diffraction, and ex situ X-ray diffraction, atomic force microscopy and resistivity measurements. Reflection high-energy electron diffraction patterns and X-ray diffraction spectra show that the room temperature growth of the Dy film is disordered and there is a transition at a temperature of 300-330 °C from a disordered to an epitaxial growth of a Dy-germanide film by solid phase epitaxy. The high quality Dy3Ge5 film crystalline structure is formed and identified as an orthorhombic phase with smooth surface in the annealing temperature range of 330-550 °C. But at a temperature of 600 °C, the smooth surface of the Dy3Ge5 film changes to a rough surface with a lot of pits due to the reactions further.  相似文献   

9.
This paper presents an 8×8 bit pipelined multiplier operating at 320 MHz under 0.5 V supply voltage. Using PMOS forward body bias technique, the modified full adder and the new D flip-flop with synchronous output are combined and implemented in the proposed pipelined multiplier to achieve high operation speed at supply voltages as low as 0.5 V. The proposed pipelined multiplier is fabricated in 130 nm CMOS process. It operates up to 320 MHz and the power consumption is only 1.48 mW at 0.5 V. Moreover, the power consumption of the proposed pipelined multiplier at 0.5 V is reduced over 5.7 times than that of the traditional architecture at 1.2 V. Thus, the proposed 8×8 bit pipelined multiplier is suitable for SoC and dynamic voltage frequency scaling applications.  相似文献   

10.
We have investigated the characteristics of Ar/O2 plasmas in terms of the photoresist (PR) and low-k material etching using a ferrite-core inductively coupled plasma (ICP) etcher. We found that the O2/(O2+ Ar) gas flow ratio significantly affected the PR etching rate and the PR to low-k material etch selectivity. Fourier transform infrared spectroscopy (FTIR) and HF dipping test indicated that the etching damage to the low-k material decreased with decreasing O2/(O2 + Ar) gas flow ratio.  相似文献   

11.
Yttrium silicide formation and its contact properties on Si(1 0 0) have been studied in this paper. By evaporating a yttrium metal layer onto Si(1 0 0) wafer in conventional vacuum condition and rapid thermal annealing, we found that YSi2-x begins to form at 350 °C, and is stable to 950 °C. Atomic force microscopy characterization shows the pinholes formation in the formed YSi2-x film. By current-voltage measurement, the Schottky barrier height (SBH) of YSi2-x diode on p-type Si(1 0 0) was shown to be between 0.63 and 0.69 eV for annealing temperature from 500 to 900 °C. By low temperature current-voltage measurement, the SBH of YSi2-x diode on n-type Si(1 0 0) was directly measured and shown to be 0.46, 0.37, 0.32 eV for annealing temperature of 500, 600, and 900 °C, respectively, and possibly even lower for annealing at 700 or 800 °C.  相似文献   

12.
Density functional theory was used to performed a survey of transition metal oxide (MO2 = ZrO2, HfO2) ordered molecular adsorbate bonding configurations on the Ge(1 0 0)-4 × 2 surface. Surface binding geometries of metal-down (O-M-Ge) and oxygen-down (M-O-Ge) were considered, including both adsorbate and displacement geometries of M-O-Ge. Calculated enthalpies of adsorption show that bonding geometries with metal-Ge bonds (O-M-Ge) are essentially degenerate with oxygen-Ge bonding (M-O-Ge). Calculated electronic structures indicate that adsorbate surface bonding geometries of the form O-M-Ge tend to create a metallic interfaces, while M-O-Ge geometries produce, in general, much more favorable electronic structures. Hydrogen passivation of both oxygen and metal dangling bonds was found to improve the electronic structure of both types of MO2 adsorbate systems, and induced the opening of true semiconducting band gaps for the adsorbate-type M-O-Ge geometries. Shifts observed in the DOS minima for both O-M-Ge and M-O-Ge adsorbate geometries are consistent with surface band bending induced by the adsorbate films, where such band bending extends much further into the Ge substrate than can be modeled by the Ge slabs used in this work.  相似文献   

13.
The plasmochemical etching of SiO2 in CF4 + O2 plasma is considered. During the experiment SiO2 films are etched in CF4 + O2 plasma at temperatures of 300 and 350 K. The dependences of plasmochemical etching rates of SiO2 on O2 content in the feed are measured. The experimental measurements are compared with theoretical calculations. The obtained theoretical results are used to predict the real dimensions of etched trenches. It is found that decrease in temperature reduces lateral undercutting due to decreased desorption of formed SiF4 molecules from the sidewalls.  相似文献   

14.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

15.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

16.
We report on the plasma-assisted molecular-beam epitaxial growth of (1 1 2¯ 2)-oriented GaN/AlN nanostructures on (1 1¯ 0 0) m-plane sapphire. Moderate N-rich conditions enable to synthesize AlN(1 1  2) directly on m-sapphire, with in-plane epitaxial relationships [1 1 2¯ 3¯]AlN∥[0 0 0 1]sapphire and [1  0 0]AlN∥[1 1 2¯ 0]sapphire. In the case of GaN, a Ga-excess of one monolayer is necessary to achieve two-dimensional growth of GaN(1 1 2¯ 2). Applying these growth conditions, we demonstrate the synthesis of (1 1 2¯ 2)-oriented GaN/AlN quantum well structures, showing a strong reduction of the internal electric field. By interrupting the growth under vacuum after the deposition of few monolayers of GaN under slightly Ga-rich conditions, we also demonstrate the feasibility of quantum dot structures with this orientation.  相似文献   

17.
The Pb(Zr0.20Ti0.80)O3/(Pb1−xLax)Ti1−x/4O3 (x = 0, 0.10, 0.15, 0.20) (PZT/PLTx) multilayered thin films were in situ deposited on the Pt(1 1 1)/Ti/SiO2/Si(1 0 0) substrates by RF magnetron sputtering technique with a PbOx buffer layer. With this method, all PZT/PLTx multilayered thin films possess highly (1 0 0) orientation. The PbOx buffer layer leads to the (1 0 0) orientation of the multilayered thin films. The effect of the La content in PLTx layers on the dielectric and ferroelectric properties of the PZT multilayered thin films was systematically investigated. The enhanced dielectric and ferroelectric properties are observed in the PZT/PLTx (x = 0.15) multilayered thin films. The dielectric constant reaches maximum value of 365 at 1 KHz for x = 0.15 with a low loss tangent of 0.0301. Along with enhanced dielectric properties, the multilayered thin films also exhibit large remnant polarization value of 2Pr = 76.5 μC/cm2, and low coercive field of 2Ec = 238 KV/cm.  相似文献   

18.
Low-k dielectric carbon-doped silicon dioxide films created by Plasma Enhanced Chemical Vapor Deposition (PECVD) using a six-station sequential deposition system exhibit different glass transition behavior from films created by PECVD in a single deposition station. The enhanced glass transition temperature (Tg) for the PECVD thin films of a layer consisting of six sub-layer deposited in a six-station sequential deposition system to the Tg for films of a single layer deposited in a single deposition system is traced back to the introduced film interface effect inherent to the different deposition methods. Both types of PECVD thin films range in thickness from 50 to 1255 nm and show an increasing Tg with decreasing film thickness. The observed glass transition behavior for films with six sub-layers can be well explained by a theoretical model of thickness dependent Tg for multiple sub-layers obtained by modifying the currently existing theoretical model for the single layer thickness dependent Tg behavior, which explains the observed thickness dependent Tg for single layer PECVD thin films.  相似文献   

19.
We have studied the effect of substrates [glass and Si(1 0 0)], of Ni thickness (tNi) and of the deposition rate [v1=13 nm/min and v2=22 nm/min] on the structural and electrical properties of evaporated Ni thin films. The Ni thickness, measured by the Rutherford backscattering (RBS) technique, ranges from 28 to 200 nm. From X-ray diffraction, it was found that all samples are polycrystalline and grow with the 〈1 1 1〉 texture. From the measure of the lattice constant, we inferred that Ni/Si samples are under a higher tensile stress than the Ni/glass ones. Moreover, in Ni/glass deposited at v1, stress is relived as tNi increases while those deposited at v2 are almost stress-free. The grain size (D) in Ni/glass with low deposition rate monotonously increases (from 54 to 140 Å) as tNi increases and are lower than those corresponding to Ni/Si. On the other hand, samples grown at v2 have a constant D, for small tNi with D in Ni/glass larger than D in Ni/Si. Ni/glass deposited at low v1 are characterized by a higher electrical resistivity (ρ) than those deposited at v2. For the latter series, ρ is practically constant with tNi but decreases with increasing grain size, indicating that diffusion at the grain boundaries rather than surface effect is responsible for the variation of ρ in this thickness range. For the Ni/glass deposed at v1 and the Ni/Si series, ρ has a more complex variation with thickness and deposition rate. These results will be discussed and correlated.  相似文献   

20.
The International Technology Roadmap for Semiconductors (ITRS) predicts that by 2010 over one billion transistors will be integrated into one chip [Semiconductor Industry Associations, International Technology Roadmap for Semiconductors, 2004. Available from: <http://public.itrs.net/Files/2004UpdateFinal/2004Update.htm>]. The interconnect system of this one billion transistor chip will provide the required high-speed signal and power to transmit each transistor on the chip. This system will deliver high frequency signals to various circuits, and the parasitic effects associated with interconnect will become evident and cannot be ignored. Small parasitic capacitance (C) between interconnect are required to reduce the crosstalk, power consumption, and RC delay associated with the metal interconnect system. Therefore, interconnect with low dielectric constant (k) materials is required.In this study, hydrogen silsesquioxane (HSQ) thin films prepared under various conditions are employed as the intermetal dielectric and the high frequency characteristics of Al-HSQ system are investigated and compared with those of Al-SiO2 system. The S-parameters of the Al interconnect are measured for insertion loss and crosstalk noise. The interconnect transmission parameters are extracted from the S-parameters. A figure of merit (FOM) is employed to evaluate the characteristics of the Al-HSQ system at high frequencies (100 MHz-20 GHz). It is found that Al interconnect with HSQ films annealed at 400 °C has an insertion loss of 1.64 dB/mm, a coupling of −13.3 2 dB at 20 GHz, and a propagation delay of 0.121 ps/μm, while those of the PECVD SiO2 films are 2.01 dB/mm (insertion loss), −13.40 dB (coupling), and 0.149 ps/μm (propagation delay). The Al-400 °C-annealed-HSQ system has better performance than the Al-SiO2 system does from 100 MHz to 20 GHz. However, specimens with 350 °C-annealed HSQ films or plasma-treated HSQ films exhibit larger insertion losses and higher crosstalk noises than those with PECVD SiO2 films do. Both annealing temperature and O2 plasma treatment of the HSQ films affect the high frequency characteristics of the Al-HSQ system.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号