首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
设计并制备了一种基于热光效应的集成可调谐氮化 硅(Si3N4)波导微环谐振腔滤波器,通过采用马赫-曾德干涉仪(MZI)构成的可调谐 耦合器控制耦合区耦合比,以实现滤波器消光比的调谐。设计并优化了微环谐振 腔的波导截面尺寸、弯曲半径和耦合区波导间隔等参数,并通过光刻、反应离子刻蚀(RIE )等工艺制备 了两种不同弯曲半径的Si3N4波导微环谐振腔。实验结果表明,本文器件在波长1550nm附近处的自由光谱 范围(FSR)为68pm,3dB带宽约为16pm,品质因子Q达到了9.68×10 4,消光比可调范围约为17dB。  相似文献   

2.
利用氮化硅陶瓷多孔材料作为毛细芯设计了一种以乙烷为工质的低温回路热管样机, 并对其降温及传热性能进行了研究。试验结果表明,通过在蒸发器上施加4 W的热量, 这种低温回路热管能够在常温下实现启动,并能顺利实现降温;在降温过程中,通过不断增大加热功率,可以加快低温回路 热管的降温;当蒸发器的温度为190 K时,该样机可以稳定传输30 W的热量。  相似文献   

3.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

4.
n-Type Si(100) wafers with a thermally grown Si3N4 layer (∼170 nm) were sequentially implanted with 160 keV He ions at a dose of 5 × 1016 cm−2 and 110 keV H ions at a dose of 1 × 1016 cm−2. Depending on the annealing temperature, surface exfoliations of two layers were observed by optical microscopy and atomic force microscopy. The first layer exfoliation was found to correspond to the top Si3N4 layer, which was produced at lower annealing temperatures. The other was ascribed to the implanted Si layer, which was formed at higher temperatures. The possible exfoliation processes are tentatively discussed, and potential applications of such phenomena are also suggested.  相似文献   

5.
设计了基于Si3N4掩模的太阳电池选择性掺杂工艺,并对其工艺参数进行了仿真优化。选择性掺杂电池的一次掺杂条件为仿真所得最佳非选择性掺杂电池的工艺参数。运用SILVACO软件分别对选择性掺杂的时间、预淀积浓度和温度进行了仿真研究。仿真结果表明,随着选择性掺杂的预淀积浓度的增加,光谱响应率先增加后降低;随着扩散温度和扩散时间的增加,电池的光谱响应率逐渐减小。所得最佳选择性掺杂工艺参数为预淀积磷硅玻璃杂质浓度1×1019 cm-3、扩散温度800℃、扩散时间5min。  相似文献   

6.
The plasmochemical etching of SiO2 in CF4 + O2 plasma is considered. During the experiment SiO2 films are etched in CF4 + O2 plasma at temperatures of 300 and 350 K. The dependences of plasmochemical etching rates of SiO2 on O2 content in the feed are measured. The experimental measurements are compared with theoretical calculations. The obtained theoretical results are used to predict the real dimensions of etched trenches. It is found that decrease in temperature reduces lateral undercutting due to decreased desorption of formed SiF4 molecules from the sidewalls.  相似文献   

7.
Dry plasma etching of sub-micron structures in a SiO2/Si/SiO2 layer system using Cr as a mask was performed in a fluorocarbon plasma. It was determined that the best anisotropy could be achieved in the most electropositive plasma. A gas composition yielding the desired SOI planar photonic crystal structures was optimized from the available process gases, Ar, He, O2, SF6, CF4, c-C4F8, CHF3, using DC bias data sets. Application of the c-C4F8/(noble gas) chemistry allowed fabrication of the desired SOI planar photonic crystal. The average etching rates for the pores and ridge waveguide regions were about 71 and 97 nm/min, respectively, while the average SiO2/Si/SiO2 to Cr etching selectivity for the ridge waveguide region was about 33:1 in case of the c-C4F8/90%Ar plasma with optimized parameters.  相似文献   

8.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

9.
In this work, we investigated etching characteristics of BST thin films and higher selectivity of BST over Si using inductive coupled O2/Cl2/Ar plasma (ICP) system. The maximum etch rate of BST thin films and selectivity of BST over Si were 61.5 nm/min at a O2 addition of 1 sccm, 9.52 at a O2 addition of 4 sccm into the Cl2(30%)/Ar(70%) plasma, respectively. Plasma diagnostics was performed by Langmuir probe (LP), optical emission spectroscopy (OES) and quadrupole mass spectrometry (QMS). These results confirm that the increased etch rates at O2 addition of 1 sccm is the result of the enhanced chemical reaction between BST and Cl radicals and an ion bombardment effect.  相似文献   

10.
对使用CF4/Ar 混合气体刻蚀Al1.3Sb3Te的特性进行了研究。实验控制的参数是:气体流入刻蚀腔的速率,CF4/Ar 比例,O2的加入量,腔内压强以及加在底电极上的入射射频功率。总的气体流量是50sccm ,研究刻蚀速率与CF4/Ar的比例,O2加入量,腔内压强和入射射频功率的关系。最后刻蚀参数被优化。 使用优化的刻蚀参数CF4的浓度4%,功率300W,压强800mTorr,刻蚀速率达到70.8nm/min,刻蚀表面平整  相似文献   

11.
The role of N2 on GaAs etching at 150 mTorr capacitively-coupled Cl2/N2 plasma is reported. A catalytic effect of N2 was found at 20-25% N2 composition in the Cl2/N2 discharges. The peak intensities of the Cl2/N2 plasma were monitored with optical emission spectroscopy (OES). Both atomic Cl (725.66 nm) and atomic N (367.05 nm) were detected during the Cl2/N2 plasma etching. With the etch rate and OES results, we developed a simple model in order to explain the etch mechanism of GaAs in the high pressure capacitively-coupled Cl2/N2 plasma as a function of N2 ratio. If the plasma chemistry condition became positive ion-deficient at low % N2 or reactive chlorine-deficient at high % N2 in the Cl2/N2 plasma, the GaAs etch rate is reduced. However, if the plasma had a more balanced ratio of Cl2/N2 (i.e. 20-25% N2) in the plasma, much higher etch rates (up to 150 nm/min) than that in pure Cl2 (50 nm/min) were produced due to synergetic effect of neutral chlorine adsorption and reaction, and positive ion bombardment. Pure Cl2 etching produced 14 nm of RMS surface roughness of GaAs. Introduction of ?20% N2 gas in Cl2/N2 discharges significantly reduced the surface roughness to 2-4 nm. SEM photos showed that the morphology of photoresist mask was strongly degraded. Etch rate of GaAs slightly increased from 10 to 40 nm/min when RIE chuck power changed from 10 to 150 W at 12 sccm Cl2/8 sccm N2 plasma condition. The surface roughness of GaAs etched at 12 sccm Cl2/8 sccm N2 plasma was 2-3 nm.  相似文献   

12.
The process window for the infinite etch selectivity of silicon nitride (Si3N4) layers to ArF photoresist (PR) and ArF PR deformation were investigated in a CH2F2/H2/Ar dual-frequency superimposed capacitive coupled plasma (DFS-CCP) by varying the process parameters, such as the low frequency power (PLF), CH2F2 flow rate, and H2 flow rate. It was found that infinitely high etch selectivities of the Si3N4 layers to the the ArF PR on both the blanket and patterned wafers could be obtained for certain gas flow conditions. The H2 and CH2F2 flow rates were found to play a critical role in determining the process window for infinite Si3N4/ArF PR etch selectivity, due to the change in the degree of polymerization. The preferential chemical reaction of hydrogen with the carbon in the hydrofluorocarbon (CHxFy) layer and the nitrogen on the Si3N4 surface, leading to the formation of HCN etch by-products, results in a thinner steady-state hydrofluorocarbon layer and, in turn, in continuous Si3N4 etching, due to enhanced SiF4 formation, while the hydrofluorocarbon layer is deposited on the ArF photoresist surface.  相似文献   

13.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

14.
Chen Lele  Zhu Liang  Xu Linda  Li Dongxia  Cai Hui  Pao Tod 《半导体学报》2009,30(3):033005-033005-5
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and 02 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

15.
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and O2 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

16.
分别采用旋涂法和水热法在FTO衬底上制备Co3O4种子层和Co3O4薄膜,再在Co3O4薄膜上水热生长Fe2O3纳米棒,获得了高质量的Co3O4/Fe2O3异质结复合材料。通过改变Fe2O3前驱体溶液浓度来改变异质结复合材料中Fe2O3组分的含量。结果表明,Fe2O3纳米棒覆盖在呈网状结构的Co3O4薄膜上,随着Fe2O3前驱体溶液浓度即Fe2O3组分含量的增加,Co3O4/Fe2O3异质结复合材料对紫外光的响应逐渐增强,当Fe2O3前驱体溶液浓度为0.015mol/L时,异质结复合材料有着很好的光电稳定性,并表现出较高的响应率(12.5mA/W)和探测率(4.4×1010Jones)。  相似文献   

17.
Reactive ion etching(RIE) of LiNbO3(LN) in SF6 plasma atmosphere was studied for optimizing the preparation conditions for LN ridge waveguides.The samples to be etched are Ti-diffused LN slab waveguides overlaid with a chromium film mask that has a Mach-Zehnder interferometer(MZI) array pattern.The experimental results indicate that the LN-etching rate(RLN) and the Cr-etching rate(RCr) as well as the rate ratio RLN/RCr increase with either increasing the radio-frequency(RF) power at a given SF6 flow rate or increasing the SF6 flow rate at a fixed RF power.The maximum values of RLN = 43.2 nm/min and RLN/RCr = 3.27 were achieved with 300 W RF power and 40 sccm SF6 flow.When the SF6 flow rate exceeds 40 sccm,an increase in the flow rate causes the etching rates and the rate ratio to decrease.The scanning electron microscope images of the LN ridge prepared after~20 min etching show that the ridge height is 680 nm and the sidewall slope angle is about 60°.  相似文献   

18.
High density plasma etching of mercury cadmium telluride using CH4/H2/Ar plasma chemistries is investigated. Mass spectrometry is used to identify and monitor etch products evolving from the surface during plasma etching. The identifiable primary etch products are elemental Hg, TeH2, and Cd(CH3)2. Their relative concentrations are monitored as ion and neutral fluxes (both in intensity and composition), ion energy and substrate temperature are varied. General insights are made into surface chemistry mechanisms of the etch process. These insights are evaluated by examining etch anisotropy and damage to the remaining semiconductor material. Regions of process parameter space best suited to moderate rate, anisotropic, low damage etching of HgCdTe are identified.  相似文献   

19.
The etching mechanism of ZrO2 thin films in BCl3/Ar plasma was investigated using a combination of experimental and modeling methods. It was found that an increase in the Ar mixing ratio causes the non-monotonic behavior of the ZrO2 etch rate which reaches a maximum of 41.4 nm/min at about 30-35% Ar. Langmuir probe measurements and plasma modeling indicated the noticeable influence of a BCl3/Ar mixture composition on plasma parameters and active species kinetics that results in non-linear changes of both densities and fluxes for Cl, BCl2 and . From the model-based analysis of surface kinetics, it was shown that the non-monotonic behavior of the ZrO2 etch rate can be associated with the concurrence of chemical and physical pathways in ion-assisted chemical reaction.  相似文献   

20.
Oxidation characteristics of Si0.85Ge0.15 nanowires were investigated using transmission electron microscopy (TEM) analyses. Si0.85Ge0.15 nanowires were grown in a tube furnace by vapor–liquid–solid (VLS) method and thermally oxidized at 925 °C for 1–8 h. After oxidation, oxide thicknesses were measured using TEM images. Si0.85Ge0.15 nanowires showed a thicker oxide than Si nanowires, for the whole range of oxidation time. The oxidation rate of Si0.85Ge0.15 nanowires significantly decreased in nanowires with diameters less than 150 nm. Long-term oxidation in Si0.85Ge0.15 nanowire resulted in the oxidation of germanium atoms.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号