首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
宋海兰 《光电子.激光》2010,(10):1511-1514
提出了一种基于硼酸溶液的GaAs/InP低温晶片键合技术,实现了GaAs/InP基材料间简单、无毒性的高质量、低温(290℃)晶片键合。GaAs/InP键合晶片解理截面的扫描电子显微镜(SEM)图显示,键合界面整齐,没有裂缝和气泡。通过键合过程,InP上的In0.53Ga0.47As/InP多量子阱结构转移到了GaAs基底上。X射线衍射及荧光谱显示,键合后的多量子阱晶体质量未变。二次离子质谱(SIMS)和Raman光谱图显示,GaAs/InP键合晶片的中间层厚度约为17 nm,界面处B元素有较高的浓度,键合晶片的中间层很薄,因此可以得到较好的电学、光学特性。  相似文献   

2.
3.
圆片级低温富锡金锡键合   总被引:1,自引:1,他引:0  
Sn-rich Au–Sn solder bonding has been systematically investigated for low cost and low temperature wafer-level packaging of high-end MEMS devices.The AuSn2 phase with the highest Vickers-hardness among the four stable intermetallic compounds of the Au–Sn system makes a major contribution to the high bonding shear strength.The maximum shear strength of 64 MPa and a leak rate lower than 4.9×10-7 atm·cc/s have been obtained for Au46Sn54 solder bonded at 310 ℃.This wafer-level low cost bonding technique with high bonding strength can be applied to MEMS devices requiring low temperature packaging.  相似文献   

4.
对低温阳极键合特性进行了研究.通过对硅片进行亲水、疏水和表面未处理3 种不同处理方式研究其对键合的影响,键合前将硅片浸入去离子水(DIW)中不同时间,研究硅表面H基和氧化硅分子数量对键合的影响.结果表明经亲水处理的硅片在水中浸泡1 h 的键合效果最佳.并设计了不同烘烤时间下的阳极键合实验,表明在100 °C 下烘烤30 min 可以有效减少气泡的数量和尺寸.由不同工艺条件下得到的键合形貌可知,通过控制硅片表面微观状态可以达到减小或消除键合气泡的目的.  相似文献   

5.
Germanium-on-insulator substrates by wafer bonding   总被引:2,自引:0,他引:2  
Single-crystal Ge-on-insulator (GOI) substrates, made by bonding a hydrogen-implanted Ge substrate to a thermally oxidized, silicon handle wafer, are studied for properties relevant to device fabrication. The stages of the layer transfer process are examined through transmission electron microscopy (TEM) from the initial hydrogen implant through the final Ge film polish. The completed GOI substrate is characterized for film uniformity, surface quality, contamination, stress, defectivity, and thermal robustness using a variety of techniques and found to be acceptable for initial device processing.  相似文献   

6.
The use of plasma immersion as preparation for room temperature wafer bonding has been investigated. Silicon wafers have been successfully bonded at room temperature after exposure to oxygen or argon plasma. Oxidized silicon wafers and crystalline quartz have been bonded after exposure to oxygen plasma. The bonded interfaces exhibit very high surface energies, comparable to what can be achieved with annealing steps in the range of 600–800°C using normal wet chemical activation before bonding. The high mechanical stability obtained after bonding at room temperature is explained by an increased dynamic in water removal from the bonded interface allowing covalent bonds to be formed. Electrical measurements were used to investigate the usefulness of plasma bonded interfaces for electronic devices.  相似文献   

7.
A reliable composite metal seal comprising both intermetallic compounds (IMC) and solder joints, which are formed by transient liquid phase bonding and soldering respectively, is proposed and demonstrated in wafer level bonding experiments. Hermetic sealing is demonstrated on 8-in. wafers using low volume Cu/Sn materials at process temperatures as low as 280 °C. It is shown that the composite seal is stable when subjected to temperatures of 250 °C, and that it provides better hermeticity and reliability than an IMC seal alone.  相似文献   

8.
This paper describes the creation of a germanium on sapphire platform, via wafer bonding technology, for system-on-a-chip applications. Similar thermal coefficients of expansion between germanium (5.8 × 10?6 K?1) and sapphire (5 × 10?6 K?1) make the bonding of germanium to sapphire a reality. Germanium directly bonded to sapphire results in microvoid generation during post bond annealing. Inclusion of an interface layer such as silicon dioxide layer by plasma enhanced chemical vapour deposition, prior to bonding, results in a microvoid free bond interface after annealing. Grinding and polishing of the subsequent germanium layer has been achieved leaving a thick germanium on sapphire (GeOS) substrate. Submicron GeOS layers have also been achieved with hydrogen/helium co-implantation and layer transfer. Circular geometry transistors exhibiting a field effect mobility of 890 cm2/V s have been fabricated onto the thick germanium on sapphire layer.  相似文献   

9.
Microstructure examination of copper wafer bonding   总被引:2,自引:0,他引:2  
The microstructure morphologies and oxide distribution of copper bonded wafers were examined by means of transmission electron microscopy (TEM) and energy dispersion spectrometer (EDS). Cu wafers exhibit good bond properties when wafer contact occurs at 400°C/4000 mbar for 30 min, followed by an anneal at 400°C for 30 min in N2 ambient atmosphere. The distribution of different defects showed that the bonded layer became a homogeneous layer under these bonding conditions. The oxidation distribution in the bonded layer is uniform and sparse. Possible bonding mechanisms are discussed.  相似文献   

10.
3D integration provides a promising solution to achieve system level integration with high function density, small form factor, enhanced transmission speed and low power consumption. Stacked bonding is the key technology to enable the communication between different strata of the 3D integration system. Low temperature bonding approaches are explored in industry to solve the performance degradation issue of the integrated devices. In this paper, various low temperature bonding technologies are reviewed and introduced, as well as the latest developments in world-wide companies and research institutes. The outlook for industrial application is also addressed in the paper.  相似文献   

11.
微流控分析芯片制作中的低温键合技术   总被引:1,自引:0,他引:1  
微流控分析芯片制作方法的研究是微流控分析的基础。制作性能良好的微流控分析芯片时,基片与盖片的键合技术十分重要。本文针对近年来发展迅速的低温键合技术,对各种方法进行了评价,并对其发展前景进行了展望。  相似文献   

12.
Intermediate wafer level bonding and interface behavior   总被引:2,自引:0,他引:2  
The paper presents a new silicon wafer bonding technique. The high-resolution bonding pad is defined through photolithography process. Photosensitive materials with patternable characteristics are served as the adhesive intermediate bonding layer between the silicon wafers. Several types of photosensitive materials such as SU-8 (negative photoresist), AZ-4620 (positive photoresist), SP341 (polyimide), JSR (negative photoresist) and BCB (benzocylbutene) are tested and characterized for their bonding strength. An infrared (IR) imaging system is established to examine the bonding results. The results indicate that SU-8 is the best bonding material with a bonding strength up to 213 kg/cm2 (20.6 MPa) at bonding temperature less than 90 °C. The resolution of bonding pad of 10 μm can be achieved. The developed low temperature bonding technique is particularly suitable for the integration of microstructures and microelectronics involved in MEMS and VLSI packaging processes.  相似文献   

13.
A reliable copper wafer bonding process condition, which provides strong bonding at low bonding temperature with a short bonding duration and does not affect the device structure, is desirable for future three-dimensional (3-D) integration applications. In this review paper, the effects of different process parameters on the quality of blanket copper wafer bonding are reviewed and summarized. An overall view of copper wafer bonding for different bonding parameters, including pressure, temperature, duration, clean techniques, and anneal option, can be established. To achieve excellent copper wafer bonding results, 400°C bonding for 30 min. followed by 30 min. nitrogen anneal or 350°C bonding for 30 min. followed by 60 min. anneal bonding is necessary. In addition, by meeting the process requirements of future integrated circuit (IC) processes, the best bonding condition for 3-D integration can be determined.  相似文献   

14.
Vertically coupled microring resonators using polymer wafer bonding   总被引:3,自引:0,他引:3  
A new technique is presented to make vertically coupled semiconductor microring resonators that eases the fabrication process with devices more robust to ring-to-waveguide misalignments. Single-mode microring optical channel dropping filters are demonstrated for the first time in this configuration with Qs greater than 3000 and an on-resonance channel extinction greater than 12 dB. A 1×4 multiplexer/demultiplexer crossbar array with second-order microrings was also made and exhibited channel-to-channel crosstalk lower than 10 dB  相似文献   

15.
Heterogeneous integration of technologically important materials, such as SiC/Si, GaN/Si, Ge/Si, Si/nano-Si/Si, SiC-on-insulator (SiCOI), and ZrO2/SiO2/Si, was successfully made by ultra-high vacuum (UHV) wafer bonding. A unique, UHV bonding unit, especially designed to control interface structure, chemistry, and crystallographic orientation within narrow limits, was used to produce homophase and heterophase planar interfaces. In-situ thin-film-deposition capability in conjunction with the wafer bonding offered even more flexibility for producing integrated artificial structures. Prebonding surface preparation was critically important for the formation of strong bonded interfaces. The substrate-surface morphology was examined by atomic-force microscopy (AFM) prior to bonding. In-situ Auger spectroscopy measurements of surface chemistry were invaluable predictors of bonding behaviors. Plasma processing very effectively cleaned the substrates, achieving a near-perfect interfacial bond at the atomic scale. The integrity of the bonded interfaces was studied in the light of their structural and chemical characteristics analyzed by high-resolution, analytical electron microscopy.  相似文献   

16.
Thin-film devices fabricated with benzocyclobutene adhesive wafer bonding   总被引:2,自引:0,他引:2  
In this paper, we present and elaborate on die to wafer bonding technology with benzocyclobutene (BCB). This technology allows to fabricate a variety of reliable waferbonded components in a fairly simple way using only standard cleanroom equipment. We demonstrate the fabrication of passive devices such as microring resonators, as well as active components such as lasers and LEDs. We show good performance of these devices by presenting measurements of their characteristics. Furthermore, these devices were subjected to damp-heat testing, demonstrating the good quality of the BCB-bonding procedure. Finally, due to the low thermal conductivity of BCB, thermal management needs some attention. We present an analysis of the thermal problem and suggest a possible solution.  相似文献   

17.
A novel wafer bonding process has been used to integrate high quality GaAs devices on quartz substrates. The method of adhesion by spin-on-dielectric temperature enhanced reflow (MASTER) uses a spin-on-dielectric as a bonding agent to achieve a robust bond that in no way degrades either high frequency performance or reliability. A 585 GHz integrated mixer fabricated using this process has achieved record double-sideband mixer noise temperatures of 1,150 K at room temperature and 880 K at 77 K. Furthermore, the integrated mixers require no mechanical tuning, are easy to assemble, and repeatable. Precise control of the circuit geometry, coupled with the reduction of parasitic elements, allows greater accuracy of computer simulations and will therefore lead to better high frequency performance and bandwidth. This new technology is easily extended to other circuit designs and will allow the development of a new generation of submillimeter-wave integrated circuits  相似文献   

18.
An adhesive wafer bonding technique for the fabrication of nanophotonic guiding structures, the design of which consists of a III-V semiconductor core buried in a polymer matrix, is reported. The bonding was realised owing to benzocyclobutene. Nanostructures are perfectly embedded in the void-free matrix to form high density photonic circuits.  相似文献   

19.
Two experiments were performed that demonstrate an extension of the ion-cut layer transfer technique where a polymer is used for planarization and bonding. In the first experiment hydrogen-implanted silicon wafers were deposited with two to four microns low-temperature plasma-enhanced tetraethoxysilane (TEOS). The wafers were then bonded to a second wafer, which had been coated with a spin-on polymer. The bonded pairs were heated to the ion-cut temperature resulting in the transfer of a 400 nm layer silicon. The polymer enabled the bonding of an unprocessed silicon wafer to the as-deposited TEOS with a microsurface roughness larger than 10 nm, while the TEOS provided sufficient stiffness for ion cut. In the second experiment, an intermediate transfer wafer was patterned and vias were etched through the wafer using a 25% tetramethylammonium hydroxide (TMAH) solution and nitride as masking material. The nitride was then stripped using dilute hydrofluoric acid (HF). The transfer wafer was then bonded to an oxidized (100 nm) hydrogen-implanted silicon wafer. After ion-cut annealing a silicon-on-insulator (SOI) wafer was produced on the transfer wafer. The thin silicon layer of the SOI structure was then bonded to a third wafer using a spin-on polymer as the bonding material. The sacrificial oxide layer was then etched away in HF, freeing the thin silicon from the transfer wafer. The result produced a thin silicon-on-polymer structure bonded to the third wafer. These results demonstrate the feasibility of transferring a silicon layer from a wafer to a second intermediate “transfer” or “universal” reusable substrate. The second transfer step allows the thin silicon layer to be subsequently bonded to a potential third device wafer followed by debonding of the transfer wafer creating stacked three-dimensional structures.  相似文献   

20.
In this work, we show that the use of a wafer-bonding technique, wherein an inverted half-waveguide structure is bonded on the upright half to form a complete waveguide, optimizes the overlap factor present in three-wave parametric interactions realized in 43m semiconductor waveguides. These optimized waveguides can be used for efficient frequency-mixing devices which detect or emit infrared light.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号