首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The self-assembly of metal nanocrystals including Au, Ag, and Pt on ultrathin oxide for nonvolatile memory applications are investigated. The self-assembly of nanocrystals consists of metal evaporation and selective rapid-thermal annealing (RTA). By controlling process parameters, such as the thickness of the deposited film, the post-deposition annealing temperatures, and the substrate doping concentration, metal nanocrystals with density of 2–4 × 1011 cm−2, diameter less than 8.1 nm, and diameter deviation less than 1.7 nm can be obtained. Observation by scanning-transmission electron microscopy (STEM) and convergent-beam electron diffraction (CBED) shows that nanocrystals embedded in the oxide are nearly spherical and crystalline. Metal contamination of the Si/SiO2 interface is negligible, as monitored by STEM, energy dispersive x-ray spectroscopy (EDX), and capacitance-voltage (C-V) measurements. The electrical characteristics of metal, nanocrystal nonvolatile memories also show advantages over semiconductor counterparts. Large memory windows shown by metal nanocrystal devices in C-V measurements demonstrate that the work functions of metal nanocrystals are related to the charge-storage capacity and retention time because of the deeper potential well in comparison with Si nanocrystals.  相似文献   

2.
Heterogeneous floating-gates consisting of metal nanocrystals and silicon nitride (Si/sub 3/N/sub 4/) for nonvolatile memory applications have been fabricated and characterized. By combining the self-assembled Au nanocrystals and plasma-enhanced chemical vapor deposition (PECVD) nitride layer, the heterogeneous-stack devices can achieve enhanced retention, endurance, and low-voltage program/erase characteristics over single-layer nanocrystals or nitride floating-gate memories. The metal nanocrystals at the lower stack enable the direct tunneling mechanism during program/erase to achieve low-voltage operation and good endurance, while the nitride layer at the upper stack works as an additional charge trap layer to enlarge the memory window and significantly improve the retention time. The write/erase time of the heterogeneous stack is almost the same as that of the single-layer metal nanocrystals. In addition, we could further enhance the memory window by stacking more nanocrystal/nitride heterogeneous layers, as long as the effective oxide thickness from the control gate is still within reasonable ranges to control the short channel effects.  相似文献   

3.
介绍了在纳米晶浮栅存储器数据保持特性方面的研究工作,重点介绍了纳米晶材料的选择与制备和遂穿介质层工程。研究证明,金属纳米晶浮栅存储器比半导体纳米晶浮栅存储器具有更好的电荷保持特性。并且金属纳米晶制备方法简单,通过电子束蒸发热退火的方法就能够得到质量较好的金属纳米晶,密度约4×1011cm-2,纳米晶尺寸约6~7nm。实验证明,高介电常数隧穿介质能够明显改善浮栅存储器的电荷保持特性,所以在引入金属纳米晶和高介电常数遂穿介质之后,纳米晶浮栅存储器可能成为下一代非挥发性存储器的候选者。  相似文献   

4.
Metal nanocrystal memories-part II: electrical characteristics   总被引:3,自引:0,他引:3  
This paper describes the electrical characteristics of the metal nanocrystal memory devices continued from the previous paper [see ibid., vol. 49, p. 1606-13, Sept. 2002]. Devices with Au, Ag, and Pt nanocrystals working in the F-N tunneling regime have been investigated and compared with Si nanocrystal memory devices. With hot-carrier injection such as the programming mechanism, retention time up to 106 s has been observed and 2-bit-per-cell storage capability has been demonstrated and analyzed. The concern of the possible metal contamination is also addressed by current-voltage (I-V) and capacitance-voltage (C-V) characterizations. The extracted inversion layer mobility and minority carrier lifetime suggest that the substrate is free from metal contamination with continuous operations  相似文献   

5.
A methodology to simulate memory structures with metal nanocrystal islands embedded as floating gate in a high-κ dielectric material for simultaneous enhancement of programming speed and retention time is presented. The computational concept is based on a model for charge transport in nano-scaled structures presented earlier, where quantum mechanical tunneling is defined through the wave impedance that is analogous to the transmission line theory. The effects of substrate-tunnel dielectric conduction band offset and metal work function on the tunneling current that determines the programming speed and retention time is demonstrated. Simulation results confirm that a high-κ dielectric material can increase programming current due to its lower conduction band offset with the substrate and also can be effectively integrated with suitable embedded metal nanocrystals having high work function for efficient data retention. A nano-memory cell designed with silver (Ag) nanocrystals embedded in Al2O3 has been compared with similar structure consisting of Si nanocrystals in SiO2 to validate the concept.  相似文献   

6.
3C行业的不断发展,催生了对高密度、持久保存、快速擦写、鲁棒可靠性的非易失性存储器(如flash)的持续需求,促使我们在科研上不断地深入研究新材料、新工艺。在本文中,我们首次采用了区别于传统CMOS工艺的两步工艺方法来制作金属纳米晶非易失性存储器。这种方法,由于将金纳米晶的化学合成和后续组装分离开来,所以能够独立地调节纳米晶的尺寸和组装密度,而且可以很好地避免一直困扰的金属扩散问题。最终的形貌表征和电学测量结果,证实存在一个最优化的纳米晶密度--在这个最优化条件下,我们的存储器件,既有持久的保存时间,又有较大的存储窗口。而组装密度的可调,同时可以满足我们对于大的存储窗口/较长保存时间某一方面的偏好。这些实验结果,都很好地证明了我们两步工艺方法的可行性。  相似文献   

7.
A novel two-step method is employed,for the first time,to fabricate nonvolatile memory devices that have metal nanocrystals.First,size-averaged Au nanocrystals are synthesized chemically;second,they are assembled into memory devices by a spin-coating technique at room temperature.This attractive approach makes it possible to tailor the diameter and control the density of nanocrystals individually.In addition,processes at room temperature prevent Au diffusion,which is a main concern for the application of...  相似文献   

8.
Low dimensional Si materials have attracted much attention because they can be developed in many kinds of new-generation nano-electronic and optoelectronic devices, among which Si nanocrystals-based multilayered material is one of the most promising candidates and has been extensively studied. By using multilayered structures, the size and distribution of nanocrystals as well as the barrier thickness between two adjacent Si nanocrystal layers can be well controlled, which is beneficial to the device applications. This paper presents an overview of the fabrication and device applications of Si nanocrystals, especially in luminescent and photovoltaic devices. We first introduce the fabrication methods of Si nanocrystals-based multilayers. Then, we systematically review the utilization of Si nanocrystals in luminescent and photovoltaic devices. Finally, some expectations for further development of the Si nanocrystals-based photonic and photovoltaic devices are proposed.  相似文献   

9.
Metal nanocrystal memories. I. Device design and fabrication   总被引:1,自引:0,他引:1  
This paper describes the design principles and fabrication process of metal nanocrystal memories. The advantages of metal nanocrystals over their semiconductor counterparts include higher density of states, stronger coupling with the channel, better size scalability, and the design freedom of engineering the work functions to optimize device characteristics. One-dimensional (1-D) analyses are provided to illustrate the concept of work function engineering, both in direct-tunneling and F-N-tunneling regimes. A self-assembled nanocrystal formation process by rapid thermal annealing of ultrathin metal film deposited on top of gate oxide is developed and integrated with NMOSFET to fabricate such devices  相似文献   

10.
A nonvolatile memory (NVM) with metal nanocrystal (NC) embedded in high-/spl kappa/ dielectrics is proposed. With the larger work function of the metal NC compared to that of silicon NC, the metal NC memory exhibits the better data retention characteristic. The theoretical analysis showing the effect of the electron barrier height on tunneling current density is also presented to support the importance of work function engineering of the NC in NVM structure. The other electrical characteristics such as the programming transient and data endurance are also studied and described in this paper.  相似文献   

11.
In this paper, silicon (Si) nanocrystal memory using chemical vapor deposition (CVD) HfO/sub 2/ high-k dielectrics to replace the traditional SiO/sub 2/ tunneling/control dielectrics has been fabricated and characterized for the first time. The advantages of this approach for improved nanocrystal memory operation have also been studied theoretically. Results show that due to its unique band asymmetry in programming and retention mode, the use of high-k dielectric on Si offers lower electron barrier height at dielectric/Si interface and larger physical thickness, resulting in a much higher J/sub g,programming//J/sub g,retention/ ratio than that in SiO/sub 2/ and therefore faster programming and longer retention. The fabricated device with CVD HfO/sub 2/ shows excellent programming efficiency and data-retention characteristics, thanks to the combination of a lower electron barrier height and a larger physical thickness of HfO/sub 2/ as compared with SiO/sub 2/ of the same electrical oxide thickness (EOT). It also shows clear single-electron charging effect at room temperature and superior data endurance up to 10/sup 6/ write/erase cycles.  相似文献   

12.
Ge/Si复合纳米结构电荷存储特性的模拟研究   总被引:1,自引:0,他引:1  
这一研究工作模拟计算了 Ge/ Si复合纳米结构 MOSFET存储器的擦写和存储时间特性。结果表明 ,Ge/ Si复合纳米结构存储器在低压下即可实现 μs和 ns量级编程。与 Si纳米结构存储器相比 ,由于 Ge/ Si复合势阱的作用 ,器件的电荷保留时间提高了 3~ 5个量级 ,有效地解决了快速擦写编程与长久存储之间的矛盾 ,使器件的性能得到明显改善。  相似文献   

13.
Si nanocrystals and nanochains, prepared by material synthesis, provide a means to define nanoscale devices using growth rather than lithographic techniques. Electronic transport in thin films of Si nanocrystals is influenced strongly by single-electron charging and quantum-confinement effects, and by the grain boundary regions between nanocrystals. This paper reviews electronic transport mechanisms in Si nanocrystal materials. These include thermionic emission of electrons across grain boundaries, space charge limited current, hopping transport, and single-electron charging effects. The fabrication of single-electron devices in Si nanocrystal thin films and nanochains is considered, particularly with regards to their operation at room temperature.  相似文献   

14.
Here, two mechanisms of fcc Au supracrystal (assembly of Au nanocrystals) growth are proposed. The sizes of the Au nanocrystals and the solvent in which they are dispersed are major parameters that determine the final morphology of nanocrystal assemblies; films by layer‐by‐layer growth (heterogeneous growth), characterized by their plastic deformation, or well‐defined shapes grown in solution (homogeneous growth). Experiments supported by simulations demonstrate that supracrystal nucleation is mainly driven by solvent‐mediated interactions and not solely by the van der Waals attraction between nanocrystal cores, as widely assumed in the literature.  相似文献   

15.
The nanocrystal (NC) work-function engineering, which plays an important role on the NC memory characteristics such as memory window and retention time, were long regarded as a matter of choice on NC materials. In this letter, we report opposite polarities of charge storage in Au NC memories with different control oxides. The effective NC work function is found to be not only a bulk property of the NC, but also governed by the interface with surrounding dielectric, as a result of the Fermi-level pinning. By replacing Au NCs with C60 molecules, we also show the pinning effect generally exists at quantum-dot-based devices with high density of interface states. This fundamental interface property should be taken into account in the selection of NC and dielectric materials for the NC memory optimization  相似文献   

16.
The write/erase characteristics of Germanium nanocrystal memory device are modeled using single-charge tunneling theory with quantum confinement and Coulomb blockade effects. A trap model is proposed to describe the retention characteristic of the nanocrystal memory. The impact of nanocrystal size, tunnel-oxide thickness, and high-k tunnel material is studied, and the suitability of the nanocrystal memory devices for nonvolatile memory and DRAM applications is discussed. Issues related to the scaling limit of the nanocrystal memory device are investigated.  相似文献   

17.
Luminescent Si nanocrystals formed in SiO2 layers were irradiated with electrons and He+ ions with energies of 400 and 25–130 keV, respectively. The effects of irradiation and subsequent annealing at 600–1000°C were studied by the methods of photoluminescence and electron microscopy. After irradiation with low doses (~1 displacement per nanocrystal), it was found that photoluminescence of nanocrystals was quenched but the number of them increased simultaneously. After irradiation with high doses (~103 displacements per nanocrystal), amorphization was observed, which is not characteristic of bulk Si. The observed phenomena are explained in terms of the generation of point defects and their trapping by Si-SiO2 interfaces. Photoluminescence of nanocrystals is recovered at annealing temperatures below 800°C; however, an annealing temperature of about 1000°C is required to crystallize the precipitates. An enhancement of photoluminescence observed after annealing is explained by the fact that the intensities of photoluminescence originated from initial nanocrystals and from nanocrystals formed as a result irradiation are summed.  相似文献   

18.
An MOS (metal oxide semiconductor) capacitor structure with double-layer heterogeneous nanocrystals consisting of semiconductor and metal embedded in a gate oxide for nonvolatile memory applications has been fabricated and characterized. By combining vacuum electron-beam co-evaporated Si nanocrystals and self-assembled Ni nanocrystals in a SiO_2 matrix, an MOS capacitor with double-layer heterogeneous nanocrystals can have larger charge storage capacity and improved retention characteristics compared to one with single-layer nanocrystals. The upper metal nanocrystals as an additional charge trap layer enable the direct tunneling mechanism to enhance the flat voltage shift and prolong the retention time.  相似文献   

19.
制备了包含双层半导体和金属纳米晶的MOS电容结构,研究了其在非挥发性存储器领域的应用。利用真空电子束蒸发技术,在二氧化硅介质中得到了半导体硅纳米晶和金属镍纳米晶。与包含单层纳米晶的MOS电容相比,这种包含双层异质纳米晶的MOS电容显示出更大的存储能力,且保留性能得到改善。说明顶层的金属纳米晶作为一层额外的电荷俘获层可以通过直接隧穿机制进一步延长保留时间和提高平带电压漂移量。  相似文献   

20.
NiSi nanocrystals of high density and good uniformity were synthesized by vapor–solid–solid growth in a gas source molecular beam epitaxy system using Si2H6 as Si precursor and Ni as catalyst. A metal–oxide–semiconductor memory device with NiSi nanocrystal–Al2O3/SiO2 double-barrier structure was fabricated. Large memory window and excellent retention at both room temperature and high temperature of 85 °C were demonstrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号