首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
利用高集成度的CPLD器件和方便灵活的单片机控制电路设计的DDS频率合成器电路克服了传统频率合成技术的不足,具有高速频率切换、高频率稳定度、相位变化连续的优点,广泛应用于广播通信领域。  相似文献   

2.
直接数字频率合成(DDS)技术在ADC系统中的应用   总被引:2,自引:0,他引:2  
从介绍DDS的原理出发,将DDS与PLL做一些比较,分析了直接频率合成技术和传统频率合成技术之间的不同,提出了一个用直接频率合成技术的方法来提高DAC系统中时钟准确性。  相似文献   

3.
章宇杰  支敏 《电子与封装》2013,(10):31-32,43
文中所设计的正弦信号发生器电路是采用现场可编程门阵列(FPGA)实现的一个数字频率合成器。其主要由相位累加器、加法器、波形存储器等组成。实验所设计出的DDS具有变频范围广、频率步进小和频率精度高、频率和相位可调等特点,而且其最后输出的正弦信号频率高,可以达到12.5MHz。  相似文献   

4.
直接数字式频率合成DDS综述   总被引:8,自引:0,他引:8  
直接数字式频率合成(Direct Digital Synthesizer, 编写DDS)是近年来出现的一种频率合成的新方法,由于采用了全数字化技术,它具有分辨力高和快速换频的突出优点,在仪表、雷达、扩频通信等方面得到了广泛的应用,由于器件原因,还存在一些缺陷、有待改进。本文介绍了DDS的原理,分类及改进方案;对DDS的目前水平作了分析,具体介绍了一些集成产品以及应用,随着高速数字器的进一步发展,加上各种组合方案的优化设计,将会得到高速、低功耗,低价格的频率合成器。  相似文献   

5.
采用DDS技术实现的频率合成信号发生器   总被引:8,自引:1,他引:7  
王建和  段传华 《电子技术》1997,24(1):30-32,38
文章介绍采用DDS技术设计的一种新颖的频率合成信号发生器,它具有分辨率高(0.1Hz)、体积小、成本低、操作使用方便等特点  相似文献   

6.
使用较少FPGA资源实现DDS的方法   总被引:1,自引:1,他引:1  
文章提出一种采用数字坐标旋转(CORDIC)算法实时计算正弦值的方法,替代传统的DDS采用的正弦查找表,显著地节省了FPGA的内部资源,极大的提高了DDS的频率和相位分辨率,从而扩展DDS技术的应用范围;同时,分析该方案实现中可能存在的问题,并给出解决方案。  相似文献   

7.
DDS信号源的FPGA实现   总被引:3,自引:0,他引:3  
采用直接数字频率合成技术(DDS),通过数字控制相位信号的增量在FPGA中实现频率可调的信号发生器,所产生的信号不仅幅度频率灵活可调,并具有频率分辨率高、切换速度快、相位噪声低等优点,因而该系统设计在相关的科研实践中具有重要意义.  相似文献   

8.
采用直接数字频率合成技术(DDS),通过数字控制相位信号的增量在FPGA中实现频率可调的信号发生器,所产生的信号不仅幅度频率灵活可调,并具有频率分辨率高、切换速度快、相位噪声低等优点,因而该系统设计在相关的科研实践中具有重要意义。  相似文献   

9.
从工程设计的角度出发,论述了DDS插入PLL组合方案的设计原则,并给出了一种DDS播入PLL方案的实例。  相似文献   

10.
徐彬  谭征 《电子世界》2002,(1):58-60,81
<正> 2001年全国大学生电子设计竞赛中的A题,要求设计一任意波形发生器,并满足题目中所提出的功能指标。根据题目要求,我们以单片机和FPGA为核心,辅以必要的模拟电路,设计了一个基于直接数字频率合成技术(DDS)的任意波形发生器。该系统主要由七个功能模块构成:波形表生成、频率控制、数模转换、幅度控制、液晶显示、滤波及功率输出模块。其中,波形表生成和频率控制是通过单片机改变双口RAM中的波形表和向FPGA发送频率控制字来实现;数模转换采用DAC08实现;幅度控制由DAC0832内部的电阻分压网络实现;显示采用液晶显示模块;后级采用二阶巴特沃兹低通滤波器和晶体管扩流电路以提高输出波形质量并增强其带载能力,从而得到所要求的任意波形输出。  相似文献   

11.
介绍AD9833 DDS芯片产生水声遥控信号的电路设计方案。由于采用DDS技术,使整个电路的软硬件结构十分简单。该芯片与NXP(恩智浦)公司的ARM7处理器LPC2148配合,开发的便携式水声遥控发射器已获得成功应用。  相似文献   

12.
本文描述了直接数字频率合成器(DDS)的原理和特点,给出了利用Altera公司的FPGA器件(ACEX EP1K100)实现DDS的方法及仿真结果,并对仿真结果进行了误差分析。  相似文献   

13.
基于FPGA的DDS设计及实现   总被引:1,自引:0,他引:1  
针对DDS频率转换时间短,分辨率高等优点,提出了基于FPGA芯片设计DDS系统的方案。该方案利用Altera公司的QuartusⅡ开发软件,完成DDS核心部分即相位累加器和ROM查找表的设计,可得到相位连续、频率可变的信号,并通过单片机配置FPGA的E^2 PROM完成对DDS硬件的下栽,最后完成每个模块与系统的时序仿真。经过电路设计和模块仿真,验证了设计的正确性。由于FPGA的可编程性,使得修改和优化DDS的功能非常快捷。  相似文献   

14.
本文介绍了直接数字频率合成(DDS)技术的基本原理和高性能直接数字频率合成芯片AD9954的特性和内部结构,并对采用AD9954芯片和单片机构成的频率合成器实现频率、幅度控制的原理进行了分析。  相似文献   

15.
16.
本文提出了一种采用直接数字合成DDS原理和延时相干解调原理实现最小频移键控MSK信号的调制与解调的新方法。与传统采用直接提取载波进行相干解调的方法相比较,本文采用的新方法.避免了载波提取困难的问题:本设计建立了系统模型给出了基于现场可编程门阵列FPGA和DDS原理的MSK信号调制与解调的详细的软硬件架构设计及关键核心模块的设计方案,采用FPGA以及专用DDS芯片AD9851实现了调制与解调系统。  相似文献   

17.
直接数字频率合成技术实现调频   总被引:1,自引:0,他引:1  
本文阐述了DDS的基本原理,语音信号的采样,如何用DDS实现调频,并提供了试验结果。  相似文献   

18.
利用DDS/PLL实现微波频率合成器   总被引:1,自引:0,他引:1  
阐述了新一代频率合成技术--直接数字频率合成技术(DDS)的原理,并讨论了与锁相环混合构成频率合成器的两种方案,设计了一个微波频率合成器。  相似文献   

19.
基于DDS技术的X波段频率合成器   总被引:5,自引:1,他引:4  
介绍了DDS的基本原理及其杂波分布,分析了影响杂波的主要因素,提出了利用DDS技术实现X波段密跳点频率合成器的方案和实验结果。此合成器的输出信号带宽1G、跳频间隔1MHz、偏离载波1kHz处的相位噪声可达105dBc/Hz、宽带杂波抑制优于60dB,具有宽带宽、低相噪、高杂波抑制,小步进等特点。  相似文献   

20.
基于FPGA的直接数字频率合成技术设计与实现   总被引:5,自引:0,他引:5  
介绍了利用现场可编程逻辑门阵列FPGA实现直接数字频率合成 (DDS)的原理、电路结构和优化方法。重点介绍了DDS技术在FPGA中的实现方法 ,给出了采用ALTERA公司的ACEX系列FP GA芯片EP1K30TC -144进行直接数字频率合成的VHDL源程序。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号