首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
The dry etching of trenches in SiO2 by high-voltage gas discharge is studied theoretically and experimentally. General relations between etch rate and process parameters are established. The formalism is confirmed by experiment with a CF4 plasma.  相似文献   

2.
BST薄膜的磁增强反应离子刻蚀研究   总被引:1,自引:1,他引:0  
分别以CF4/Ar和CF4/Ar/O2作为刻蚀气体,采用磁增强反应离子刻蚀(MERIE)技术对sol-gel法制备的BST薄膜进行刻蚀。结果表明,刻蚀速率与刻蚀气体的混合比率呈现非单调特性。当CF4/Ar的气体流量比R(CF4:Ar)为10:40时,刻蚀速率达到极大值。当CF4/Ar/O2的气体流量比R(CF4:Ar:O2)为9:36:5时,刻蚀速率达到最大值,最大刻蚀速率为8.47nm/min。原子力显微镜(AFM)分析表明,刻蚀后的薄膜表面粗糙度变大。对刻蚀后的薄膜再进行适当的热处理,可以去除部分残留物。  相似文献   

3.
An experiment is reported on anisotropic etching in a CF4–O2plasma produced by high-voltage gas discharge. The process is applied to SiO2and is also effected on SiC, Si, C (diamond), and As2S3. It is shown that the etch rate is mainly dependent on the oxygen percentage, plasma parameters, and the wafer temperature. It is established that etch rate is maximal at oxygen percentages of 0.8–1.5%, discharge currents of 80–140 mA, and wafer temperatures of 390–440 K. The etching is found to be uniform within 1%.  相似文献   

4.
Etching of Al is studied in pure BCl3 as well as in mixtures with other gases in the reactive sputter etching mode in a cryopumped system. Etch rate, selectivity with respect to positive photoresist, SiO2 and Si and etch profiles are investigated as a function of gas composition, gas pressure, flow rate and plasma power. Plasma chemical processes are monitored by quadrupole mass spectroscopy as well as by optical emission spectroscopy. Perfectly square Al-profiles can be etched if etch rates are kept below 1000 A/min. Al-patterns running over steep steps can also be clearly defined if a certain amount of overetching can be tolerated. The experimental data indicate that the etch process is reactant supply limited. Anisotropic etching is achieved by either a ‘surface inhibitor mechanism’ or the formation of a sidewall protecting film.  相似文献   

5.
Phase change random access memory(PCRAM) is one of the best candidates for next generation nonvolatile memory,and phase change Si2Sb2Te5 material is expected to be a promising material for PCRAM.In the fabrication of phase change random access memories,the etching process is a critical step.In this paper,the etching characteristics of Si2Sb2Te5 films were studied with a CF4/Ar gas mixture using a reactive ion etching system.We observed a monotonic decrease in etch rate with decreasing CF4 concentration,meanwhile,Ar concentration went up and smoother etched surfaces were obtained.It proves that CF4 determines the etch rate while Ar plays an important role in defining the smoothness of the etched surface and sidewall edge acuity.Compared with Ge2Sb2Te5, it is found that Si2Sb2Te5 has a greater etch rate.Etching characteristics of Si2Sb2Te5 as a function of power and pressure were also studied.The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40,a background pressure of 40 mTorr,and power of 200 W.  相似文献   

6.
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and O2 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

7.
The CF2 density and etch rate of SiO2, Si3N4 and Si are investigated as a function of gas pressure and 02 flow rate in fluorocarbon plasma. As the pressure increases, the self-bias voltage decreases whereas the SiO2 etch rate increases. Previous study has shown that SiO2 etch rate is proportional to the self-bias voltage. This result indicates that other etching parameters contribute to the SiO2 etching. Generally, the CF2 radical is considered as a precursor for fluorocarbon layer formation. At a given power, defluorination of fluorocarbon under high-energy ion bombardment is a main source of fluorine for SiO2 etching. When more CF2 radical in plasma, SiO2 etch rate is increased because more fluorine can be provided. In this case, CF2 is considered as a reactant for SiO2 etching. The etch rate of Si3N4 and Si is mainly determined by the polymer thickness formed on its surface which is dominated by the CF2 density in plasma. Etching results obtained by varying O2 flow rate also support the proposition.  相似文献   

8.
Etching of Ge2Sb2Te5 (GST) is a critical step in the fabrication of chalcogenide random access memories. In this paper, the etch characteristics of GST films were studied with a CF4/Ar gas mixture using a reactive-ion etching system. We observed a monotonic decrease in etch rate with decreasing CF4 concentration indicating its importance in defining the material removal rate. Argon, on the other hand, plays an important role in defining the smoothness of the etched surface and sidewall edge acuity. We have studied the importance of gas mixture and RF power on the quality of the etched film. The smoothest surfaces and most vertical sidewalls were achieved using a CF4/Ar gas mixture ratio of 10/40, a background pressure of 80 mTorr, and power of 200 W.  相似文献   

9.
Dry plasma etching of sub-micron structures in a SiO2/Si/SiO2 layer system using Cr as a mask was performed in a fluorocarbon plasma. It was determined that the best anisotropy could be achieved in the most electropositive plasma. A gas composition yielding the desired SOI planar photonic crystal structures was optimized from the available process gases, Ar, He, O2, SF6, CF4, c-C4F8, CHF3, using DC bias data sets. Application of the c-C4F8/(noble gas) chemistry allowed fabrication of the desired SOI planar photonic crystal. The average etching rates for the pores and ridge waveguide regions were about 71 and 97 nm/min, respectively, while the average SiO2/Si/SiO2 to Cr etching selectivity for the ridge waveguide region was about 33:1 in case of the c-C4F8/90%Ar plasma with optimized parameters.  相似文献   

10.
Plasma etching of SiO2} with C2}F6} in a radial flow reactor was investigated to determine relationships between chemical aspects of the discharge, operating parameters such as power and flow rates, and uniformity of etching over a large area. The chemical conversion of C2}F6} in the discharge was monitored by infrared spectrometry of the exhaust gases, as a function of discharge power and gas flow rate. The input gas was found to be transformed mainly to CF2} and a polymeric material, and at sufficiently long residence times (∼1 sec) a "steady state " was achieved. At the steady state condition C2}F6} was found to be ∼60% converted. The amount of conversion was not influenced by the presence of SiO2} being etched, however, the production of CF4} was reduced by the presence of SiO2}. For a known flow rate and reactor dimensions the concentrations of species as a function of radial position in the reactor were calculated. It was demonstrated that the etch rate of SiO2} was dependent on this radial concentration profile. Uniform etching was obtained if the etching zone lay entirely within the "steady state" region of the discharge. Reduced etch rates or polymerization on the substrates was observed if large concentration gradients (rich in C2}F6}existed in the etching zone. It was concluded that the etch rate of SiO2} is dependent then on the local gas composition in the discharge, and can be manipulated by means of adjusting power and gas flow rates.  相似文献   

11.
利用反应等离子刻蚀技术对SiO2进行干法刻蚀, 研究了不同刻蚀条件对刻蚀速率、刻蚀选择比、刻蚀面粗糙度、刻蚀均匀性等的影响。分析得出了刻蚀侧壁角度与刻蚀选择比以及抗蚀掩模自身的侧壁角度之间存在的数学关系, 这为如何获得垂直的刻蚀侧壁提供了参考。  相似文献   

12.
利用反应等离子刻蚀技术对SiO2进行干法刻蚀,研究了不同刻蚀条件对刻蚀速率、刻蚀选择比、刻蚀面粗糙度、刻蚀均匀性等的影响。分析得出了刻蚀侧壁角度与刻蚀选择比以及抗蚀掩模自身的侧壁角度之间存在的数学关系,这为如何获得垂直的刻蚀侧壁提供了参考。  相似文献   

13.
采用CF4,CHF3,Ar三种工艺气体进行小尺寸CCD接触孔刻蚀实验,研究了不同气体配比、不同射频功率对刻蚀速率、选择比、条宽控制、侧壁形貌等参数的影响。通过优化工艺参数,比较刻蚀结果,最终获得了适合于刻蚀CCD小孔的工艺条件。  相似文献   

14.
This paper describes the mechanism of selective Si3N4 etching over SiO2 in capacitively-coupled plasmas of hydrogen-containing fluorocarbon gas, including CHF3, CH2F2 and CH3F. The etch rate of Si3N4 and SiO2 is investigated as a function of O2 percentage in all plasma gases. Addition of O2 in feed gases causes plasma gas phase change especially H density. The SiO2 etch rate decreases with increase of O2 percentage due to the decline of CFx etchant. The Si3N4 etch rate is found to be strong correlated to the H density in plasma gas phase. H can react with CN by forming HCN to reduce polymer thickness on Si3N4 surface and promote the removal of N atoms from the substrate. Thus the Si3N4 etch rate increases with H intensity. As a result, a relative high selectivity of Si3N4 over SiO2 can be achieved with addition of suitable amount of O2 which corresponds to the maximum of H density.  相似文献   

15.
The reactive ion etching (RIE) of SiO2 in CF4 + H2 plasma is considered. The influence of activated polymer on the RIE rate of SiO2 in CF4 + H2 plasma is determined by extrapolation of experimentally measured kinetics of the etching rate. It is found that the increased surface coverage by CF2 radicals suppresses the RIE rate of SiO2 in CF4 + H2 plasma during the initial stages of the etching process. The formation of activated polymer becomes pronounced when adsorbed CF2 radicals are slowly activated. The activated polymer intensifies the etching reaction and enhances the etching rate. At the same time, the activated polymer intensifies the polymerization reactions. The increased surface coverage by the polymer suppresses the RIE rate of SiO2 in CF4 + H2 plasma at later stages of the etching process.  相似文献   

16.
The effect of the CF4/C4F8 ratio in a CF4 + C4F8 + Ar mixture on the parameters of the gaseous phase of a low-pressure inductively coupled plasma, defining the kinetics and selectivity of etching in an SiO2/Si system, is investigated. It is found that increasing the SiO2/Si etching ratio, with an increase of the quantity of C4F8 in the plasma-forming mixture, is determined by various changes of the effective interaction probabilities for these materials. It is demonstrated that, within the investigated range of conditions, the kinetics of the formation of the fluorocarbon polymer film on the processed surface exerts a decisive influence on the character of the variation of the effective interaction probability. The interrelationships between the gas-phase and heterogeneous characteristics of the etching process are revealed.  相似文献   

17.
Dry etching characteristics of single crystal (100) CdTe epitaxial layers grown on GaAs substrates were studied using CH4, H2, and Ar as process gases in an electron cyclotron resonance plasma. A smooth and anisotropic etching was obtained with CH4, H2, and Ar. No hydrocarbon polymer was found on the etched surface, which was confirmed by x-ray photoelectron spectroscopy measurement. Etching of the CdTe surface was also possible with H2 and Ar; however, no etching was observed in the absence of H2. Dependence of the etch rate on plasma gas composition and flow rates was studied. Mechanisms of etching with and without CH4 supply were also studied. Etched CdTe layers also showed no deterioration of electrical properties, which was confirmed by photoluminescence measurement at 4.2 K and Hall measurement at 300 K.  相似文献   

18.
金刚石薄膜的反应离子刻蚀   总被引:5,自引:1,他引:5  
反应离子刻蚀是金刚石薄膜图形化的一种有效方法。研究了用O2及与Ar的混合气体进行金刚石薄膜图形化刻蚀的主要工艺参数(射频功能、工作气压、气体流量、反应气体成分与比例等)对刻蚀速率和刻蚀界面形貌的影响,兼顾刻蚀速率和刻蚀平滑程度等关键因素,建立了金刚石薄膜刻蚀的优化工艺参数,达到了较满意的图形效果。  相似文献   

19.
The etching characteristics of SiO2} have been investigated in the CHF3} gas plasma using the planar type reactor with the 400 kHz rf power. The etch rate of SiO2}, the SiO2} /Si and SiO2}/resist etch rate ratios, and the deterioration of photoresist films are studied with a variety of etching parameters. The etching characteristics depend strongly on the coupling mode. With the cathode coupling mode, the values of 300å/min and of larger than 100 are obtained for the etch rate of SiO2} and the SiO2}/Si etch rate ratio, respectively. Only 8 is given for the SiO2} /Si etch rate ratio with the anode one. The deterioration of photoresist films less occurs with the cathode coupling mode than with the anode one. The dependences of the etching characteristics on the rf current, gas pressure, gas flow rate, and the electrode separations are also studied some in detail with the cathode coupling mode. Possible explanations for some of the experimental results are discussed.  相似文献   

20.
Polycrystalline SiGe etches that are selective to silicon dioxide as well as silicon are needed for flexibility in device fabrication. A solution of NH4OH, H2O2, and H2O has been found to selectivity etch polycrystalline silicon-germanium alloys over both silicon and silicon dioxide. Optimum composition of the solution was determined by maximizing etch rates for SiGe films with several germanium compositions. The dependence of etch rates on germanium content, etching temperature, and doping concentration are reported. The etch rate and selectivity are approximately exponentially proportional to the germanium content. Etching was found to be insensitive to deposition method, doping method, and annealing conditions of the SiGe films. In addition, etching leaves a smooth silicon substrate surface after removal of SiGe films.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号