首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到17条相似文献,搜索用时 187 毫秒
1.
具有分形结构Ag纳米衬底的荧光增强效应   总被引:1,自引:1,他引:0  
利用电化学沉积方法,制备出具有分形结构的Ag纳米荧光增强衬底。实验中,采用532nm连续光激发居于Ag纳米结构衬底表面附近的罗丹明6G(Rh6G)荧光分子,结果表明,具有分形结构的Ag纳米金属衬底对沉积在其表面的Rh6G分子表现出明显的荧光增强效应。根据局域场增强理论对所得实验结果进行分析,经过电化学方法制备出的分形Ag纳米结构,在外电磁场激发下能够形成较强的局域电磁场分布,从而有效地激发Rh6G荧光分子,增强其荧光辐射强度。  相似文献   

2.
采用自组装方法,在3-Aminopropyltrimethoxysilane(APS)分子修饰后的玻璃衬底表面,获得了二维Ag纳米结构衬底。在波长为532nm激光激发下,研究了沉积在衬底表面的Rhodamine 6G(Rh6G)分子的拉曼光谱特性。结果表明,制备的二维Ag纳米结构衬底具有强的拉曼增强特性,增强因子可以达到107倍。这说明,在外光场作用下,制备的Ag纳米结构衬底表面能够形成的强局部电磁场分布,可以有效提升探针分子的光谱辐射效率,从而获得高增强拉曼散射。  相似文献   

3.
自组装法制备团簇Ag纳米结构衬底及其SERS   总被引:2,自引:2,他引:0  
采用自组装方法,在3-Aminopropyltrimethoxy silane(APS)分子修饰后的玻璃衬底表面,获得了二维Ag纳 米结构衬底。在波长为532nm激光激发下,研究了沉积在衬底表面的 Rhodamine 6G(Rh6G)分子的拉曼光谱特性。结 果表明,制备的二维Ag纳米结构衬底具有强的拉曼增强特性,增强因子可以达到 107 倍。这说明,在外光场作用下,制备的Ag纳米结构衬底表面能够形成的强局部电磁场分布, 可以有效提升探针分子的光谱辐射效率,从而获得高增强拉曼散射。  相似文献   

4.
为了解决表面增强拉曼散射(SERS)衬底的吸附性差、稳定性低以及灵敏度不高的问题,设计了一种沉积银纳米粒子的石墨烯泡沫镍SERS衬底,并进行了实验研究.利用化学气相沉积法在泡沫镍衬底上生长石墨烯,并通过溶液沉积的方法将合成的银纳米粒子沉积在石墨烯泡沫镍衬底表面,烘干后制备成石墨烯泡沫镍修饰银纳米粒子的新型SERS衬底.采用罗丹明6G(R6G)对SERS衬底进行拉曼实验研究,结果表明石墨烯能够较好地淬灭SERS衬底的背景荧光;泡沫镍的独特三维结构能够增大衬底对检测分子的吸附;同时,银纳米粒子也可大幅增强衬底的SERS活性.而修饰了银纳米粒子的石墨烯泡沫镍新型衬底同时具有以上优异特性,是一种具有很大应用潜力的新型SERS衬底.  相似文献   

5.
赵星  董军  高伟  陈悠  孔祥巧  张杰 《激光技术》2018,42(4):511-520
在外光场激励下,金属纳米结构衬底表面所形成的集体电子振荡模式可有效调制其局域电磁场分布,对居于衬底附近的荧光分子的荧光辐射产生调控。其影响因素主要取决于衬底金属表面所形成的电磁振荡模式和电磁场分布性质。归纳了光谱学中表面增强荧光效应研究的关键问题,指出了周期性有序衬底金属增强荧光及其金属纳米颗粒增强荧光研究的主要研究进展。基于局域电磁场增强机理模型,讨论了不同形貌衬底金属对荧光分子的荧光调控机理和影响因素。对表面增强荧光效应的研究前景进行了展望。  相似文献   

6.
室温下用磁控溅射法在Si(111)衬底上生成Au/SiO2复合纳米颗粒膜。用扫描电子显微镜(SEM)和X射线衍射方法(XRD)对不同温度退火后的Au/SiO2复合薄膜的表面形貌、微观结构进行了表征。SEM结果表明,随着退火温度升高,Au纳米颗粒先形成大的聚集后出现分布均匀的超微颗粒。XRD结果显示700℃时Au的衍射峰最强,随后峰强有所减弱,这与SEM检测结果相吻合。另外实验结果证实在1000℃退火时自组装生成空间分布均匀(直径约为70nm)的Au纳米点,可以用来作为生长一维纳米材料的模板。  相似文献   

7.
衬底退火温度对ZnO纳米结构形貌和发光特性的影响   总被引:1,自引:1,他引:0  
利用热蒸发Zn粉的方法,在Au/掺铝氧化锌(AZO) /石英衬底上生长ZnO纳米结构。为了研究不同 温度退火后的衬底对生长的ZnO纳米结构的影响,Au/AZO/石英衬底在生长纳米结构前分别在 300、500和700℃真空下退火。Au/AZO/石英衬底的表面形貌用原子力显微镜(AFM)观测 。ZnO纳米结构的微结构、形貌和 光学性能分别用X射线衍射(XRD)、扫描电子显微镜(SEM)、透射电子显微镜(TEM)和荧光光 谱仪进行测量。结果表明,在未退火和300 ℃ 退火衬底上生长了大量的ZnO纳米棒,而在500℃退火衬底上沉积了大量ZnO纳米颗粒。单晶结构 的纳米棒的平均直径分别约50nm,其 生长机制为蒸气-液体-固体(VLS)生长机制。荧光光谱显示所有的样品 都存在紫外发光峰和深能级发射带,随着退火温度的升高,生长的纳米结构的紫外发光峰相 对强度增强,而深能级发射强度减弱。  相似文献   

8.
纳米结构分子吸附引起的表面增强拉曼散射研究   总被引:7,自引:7,他引:0  
利用模板印刷技术,制备了具有不同局域表面等离子体共振(LSPR)峰的Au纳米空心半球壳结构,并以4-巯基苯胺(4-ATP)为探针分子研究了纳米结构表面吸附分子对表面增强拉曼散射(SERS)强度的影响。结果表明,当纳米结构的LSPR峰位处于激发光波长的短波长或"马鞍型"位置时,SERS强度随吸附分子数的增加而增大;当处于长波长位置时,SERS强度呈现先增大后减小的趋势。利用分子吸附理论和纳米结构表面局域场强度变化,对此现象进行了解释。  相似文献   

9.
从Au纳米粒子出发,利用竖直浸渍提拉法(dip-coating)成功将Au纳米粒子负载于基底(云母片/单晶硅片),并以3-氨丙基三甲氧基硅烷(APTMS)对单晶硅片进行改性,得到具有密度分布不同的Au纳米粒子two dimensional(2D)组装结构,制备方法简单易行。利用原子力显微镜(AFM)表征了不同制备条件下Au纳米粒子在基底表面的分布状态,结果表明,Au纳米粒子溶胶和偶联剂APTMS的浓度以及浸渍时间对Au纳米粒子在单晶硅片表面的密度分布起到决定性作用。  相似文献   

10.
应用胶体化学的方法,在溶液中合成了Ⅱ-Ⅵ族化合物-CdSe, CdTe纳米晶粒.紫外-可见光吸收谱(ABS)和光致荧光谱(PL)显示Ⅱ-Ⅵ族纳米晶粒具有良好的单分散性.在室温下利用双功能分子在Si衬底表面组装了Ⅱ-Ⅵ族纳米晶粒,原子力显微镜图像和接触角实验证实,Ⅱ-Ⅵ族纳米晶粒已经自组装到了Si衬底表面,并且表面比较平整,纳米晶粒分布均匀.  相似文献   

11.
By the electrochemical anodization method, we achieve the single-layer macroporous silicon on the N-type silicon, and prepare gold nanoparticles with sodium citrate reduction method. Through injecting the gold nanoparticles into the porous silicon by immersion, the fluorescence quenching mechanism of porous silicon influenced by gold nanoparticles is analyzed. Then the macroporous silicon deposited with gold nanoparticles is utilized to enhance the fluorescence of rhodamine 6G (R6G). It is found that when the macroporous silicon is deposited with gold nanoparticles for 6 h, the maximum fluorescence enhancement of R6G (about ten times) can be realized. The N-type porous silicon deposited with gold nanoparticles can be an excellent substrate for fluorescence detection.  相似文献   

12.
太赫兹波段一维金属线栅的偏振特性研究   总被引:2,自引:0,他引:2  
刘立明  赵国忠  张杲辉  魏波  张盛博 《中国激光》2012,39(3):311001-234
基于时域有限差分法(FDTD)对一维金属线栅结构在0.2~2.6THz波段的偏振特性进行了数值分析,研究了其结构参数如金属占空比、狭缝宽度以及线栅周期对相互垂直的两种偏振模式太赫兹波透射系数的影响。利用光刻和金属膜制备工艺,在1mm厚的高阻硅衬底上淀积了200nm厚的金膜,制成了一系列一维金属线栅结构。利用太赫兹时域光谱系统,实验测量了这些线栅结构的太赫兹透射特性,实验结果与模拟结果规律一致。结果表明:适当设计金属线栅周期,同时满足一定的金属占空比要求,其整体偏振和透射性能能够得到优化。金属线栅结构参数与其太赫兹偏振性能之间的关系为制造太赫兹偏振器提供了参考。  相似文献   

13.
The fabrication and application of new gold-coated scanning probes (SPs) for direct `write? of submicron metallic structures are reported. The SP consists of a base structure made of silicon nitride and a thin gold coating. The tip profile and radius of curvature are tightly controlled in the probe fabrication to ensure a predictable tip?substrate contact. By scanning a fabricated probe on a single crystal silicon surface in an ambient environment, sub-micron gold lines were formed as a result of direct gold material transfer from the SP tip onto the silicon surface, which is believed to be induced by the friction and wear associated with the probe scanning.  相似文献   

14.
Robust, macroscopically uniform, and highly sensitive substrates for surface‐enhanced Raman spectroscopy (SERS) are fabricated using wafer‐scale block copolymer lithography. The substrate consists of gold nanoparticles that can slide and aggregate on dense and recyclable alumina/silicon nanohoodoos. Hot‐spot engineering is conducted to maximize the SERS performance of the substrate. The substrate demonstrates remarkably large surface‐averaged SERS enhancements, greater than 107 (>108 in hot spots), with unrivalled macroscopic signal uniformity as characterized by a coefficient of variation of only 6% across 4 cm. After SERS analyses, the nanohoodoos can be recycled by complete removal of gold via a one‐step, simple, and robust wet etching process without compromising performance. After eight times of recycling, the substrate still exhibits identical SERS performance in comparison to a new substrate. The macroscopic uniformity combined with recyclability at conserved high performance is expected to contribute significantly on the overall competitivity of the substrates. These findings show that the gold nanoparticles sliding on recyclable nanohoodoo substrate is a very strong candidate for obtaining cost‐effective, high‐quality, and reliable SERS spectra, facilitating a wide and simple use of SERS for both laboratorial and commercial applications.  相似文献   

15.
Investigation on LIGA-like process based on multilevel imprint lithography   总被引:1,自引:0,他引:1  
A low-cost quasi-LIGA process is proposed, in which, instead of using thick resist technique, micro-structure with large structural height is achieved by multilevel imprinting and through-mask plating. To achieve precise alignment between individual layers, an alignment system based on computer micro-vision is developed and the experimental results show an average overlay accuracy within 1.5 μm with a standard deviation within 0.33 μm. Good adherence of resist on seed layer is achieved by substrate surface oxidation and using a coupling agent, which establishes a chemical bond between substrate surface and the resist layer. The deposit uniformity is improved by electroplating process optimization to enhance the cathode polarization. Through electrolyze etching on previous layer before next level of metal deposition, the fresh metal surface is obtained and the bonding strength between adjacent metal layers is enhanced. With the developed process, tri-layer photoresist and metallic structures with a pattern feature size of 20 μm were successfully fabricated.  相似文献   

16.
In this letter, a laterally‐driven bistable electromagnetic microrelay is designed, fabricated, and tested. The proposed microrelay consists of a pair of arch‐shaped leaf springs, a shuttle, and a contact bar made from silicon, low temperature oxide (LTO), and gold composite materials. Silicon‐on‐insulator wafers are used for electrical isolation and releasing of the moving microstructures. The high‐aspect‐ratio microstructures are fabricated using a deep reactive ion etching (DRIE) process. The tandem‐typed leaf springs with a silicon/gold composite layer enhance the mechanical performances while reducing the electrical resistance. A permanent magnet is attached at the bottom of the silicon substrate, resulting in the generation of an external magnetic field in the direction vertical to the surface of the silicon substrate. The leaf springs show bistable characteristics. The resistance of the pair of leaf springs was 7.5 Ω, and the contact resistance was 7.7 Ω. The relay was operated at ±0.12 V.  相似文献   

17.
Developing plasmon‐enhanced fluorescence (PEF) technology for identifying important biological molecules has a profound impact on biosensing and bioimaging. However, exploration of PEF for biological application is still at a very early stage. Herein, novel PEF‐based core–shell nanostructures as a near‐infrared fluorescent turn‐on sensor for highly sensitive and selective detection of pyrophosphate (PPi) in aqueous solution are proposed. This nanostructure gold nanorod (AuNR)@SiO2@meso‐tetra(4‐carboxyphenyl) porphyrin (TCPP) contains a gold nanorod core with an aspect ratio of 2.3, a silica shell, and TCPP molecules covalently immobilized onto the shell surface. The silica shell is employed a rigid spacer for precisely tuning the distance between AuNR and TCPP and an optimum fluorescence enhancement is obtained. Due to the quenching effect of Cu2+, the copper porphyrin (TCPP‐Cu2+) results in a weak fluorescence. In the presence of PPi, the strong affinity between Cu2+ and PPi can promote the disassembly of the turn‐off state of TCPP‐Cu2+ complexes, and therefore the fluorescence can be readily restored. By virtue of the amplified fluorescence signal imparted by PEF, this nanosensor obtains a detection limit of 820 × 10?9m of PPi with a good selectivity over several anions, including phosphate. Additionally, the potential applicability of this sensor in cell imaging is successfully demonstrated.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号