首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
Aluminium nitride (AlN) thin films have been reactively deposited using a filtered cathodic vacuum arc system. A pulsed substrate bias was applied in order to increase the average energy of the depositing species. The stress and microstructure of the films were determined as a function of the deposition rate and pulse bias amplitude/frequency. The stress generated in films grown with high voltage pulsed bias depended on the deposition rate and a transition from tensile stress to compressive stress occurred as the deposition rate increased. This trend was accompanied by progressive changes in the microstructure. In order of increasing deposition rate, the films exhibited: a porous structure with tensile stress; a dense AlN film with compressive stress; and a dense AlN film showing evidence of a thermally induced reduction in stress.  相似文献   

2.
Abstract

A new technique for determining the stress of thin films is described. This technique combines digital phase shifting interferometry with image-processing software. A circular disc polished on one side is used as the coated substrate during film deposition. The average stress in thin films can be derived by comparing the deflection of the substrate before and after film deposition. The deflection of the substrate by the deposited film is obtained by the phase map. Using the Zernike polynomial fitting algorithm, a three-dimensional contour map is generated from the polynomial coefficients to visualize the deformation of the thin film and to examine the tensile or compressive stress after film deposition. Four oxide films prepared by ionbeam sputter deposition are investigated for their film stresses. The experimental results show that the stress values are concordant with measurements using other methods.  相似文献   

3.
Stoichiometric and highly oriented in (100) direction SmS films in the metallic phase have been grown on Si(100) substrate at room temperature by pulsed laser deposition (PLD) as revealed from lattice parameter, reflectivity and electrical resistivity measurements. Above-critical compressive stress P = 0.9 GPa in as grown film was determined from sample curvature measurements and attributed to stress building up in PLD process further accompanied by stress due to SmS versus Si lattice parameter mismatch. Stress relaxation and subsequent metal-to-semiconductor phase transition occurred following annealing at T = 900 K as evident from consistent changes of SmS/Si sample curvature, structural, optical and electrical properties.  相似文献   

4.
Thermal stability of non-reactive physical vapour deposited alumina films of varying thickness on Al2O3-TiC and Si substrates, deposited at two different substrate biases, is examined. Substrate curvature measurements were used to determine the deposition stress and stress development during thermal cycling and annealing. Thermal cycling experiments revealed that the films deposited on Al2O3-TiC substrates become irreversibly more compressive on heating and annealing while films deposited on Si substrates become irreversibly more tensile. The deposition stress was found to be independent of film thickness, substrate material, and substrate bias during deposition. The thermal stability was independent of film thickness and substrate bias during deposition.  相似文献   

5.
Recently, piezoelectric thin films including zinc oxide (ZnO) and aluminium nitride (AlN) have found a broad range of lab-on-chip applications such as biosensing, particle/cell concentrating, sorting/patterning, pumping, mixing, nebulisation and jetting. Integrated acoustic wave sensing/microfluidic devices have been fabricated by depositing these piezoelectric films onto a number of substrates such as silicon, ceramics, diamond, quartz, glass, and more recently also polymer, metallic foils and bendable glass/silicon for making flexible devices. Such thin film acoustic wave devices have great potential for implementing integrated, disposable, or bendable/flexible lab-on-a-chip devices into various sensing and actuating applications. This paper discusses the recent development in engineering high performance piezoelectric thin films, and highlights the critical issues such as film deposition, MEMS processing techniques, control of deposition/processing parametres, film texture, doping, dispersion effects, film stress, multilayer design, electrode materials/designs and substrate selections. Finally, advances in using thin film devices for lab-on-chip applications are summarised and future development trends are identified.  相似文献   

6.
用直流溅射法在聚酰亚胺(PI)基底上制备了300nm厚的Al膜,并进行快速退火(RTA)处理。用X射线衍射、扫描电子显微镜和曲率法对Al薄膜的微结构及应力随退火温度和时间的变化进行了研究。结果表明,采用快速退火可以使其压应力松弛,甚至转变成张应力。  相似文献   

7.
8.
In the present paper, measurements of the intrinsic stress of thin amorphous Ta–Cr alloy films made by magnetron sputtering have been carried out. From the curvature of the substrates and by use of the Stoney formula, the stresses were determined. The bombardment of the growing film with energetic particles is shown to control the magnitude and sign of the intrinsic stress. When changing the deposition parameters, compressive or tensile stresses can be generated in the films in a range from about −2 GPa to +1 GPa. Also the stress relaxation, the change in the stress with time, has been studied for various temperatures. The stress relaxation was measured with a cantilever beam technique using a three-terminal capacitance method to detect the beam (substrate) deflection. The stress-relaxation data have been fitted to a mathematical model for stress relaxation related to inhomogeneous flow in amorphous films. This behaviour is expected to occur in the low-temperature, high-stress regime with deformation taking place along localized shear bands.  相似文献   

9.
交替频率PECVD方法沉积低应力氮化硅薄膜及其性质研究   总被引:4,自引:1,他引:3  
用PECVD方法制备氮化硅薄膜,研究了射频频率对氮化硅薄膜的沉积和性质的影响。结果表明,在低频下(100KHz)制备的氮化硅薄膜密度较大,具有8x109Pa左右的压应力和较小的刻蚀速率;而高频(13.56MHz)沉积的氮化硅薄膜密度较小,具体约2x109Pa的张应力,刻蚀速率较大。红外光谱表明,薄膜性质同薄膜中的氢原子成键情况有关。实验中利用高、低频交替沉积的方法,成功地制备了低应力(107Pa)氮化硅薄膜。当加热到500C时,应力较大的氮化硅薄膜会发生开裂(张应力)或拱起(压应力)。低应力的氮化硅薄膜能够承受700C的温度,温度更高时,薄膜的完整性因氢溢出而破坏。  相似文献   

10.
RuOx thin films have been deposited by reactive sputtering in an O2/Ar atmosphere. The films were characterized for their stress and resistivity as a function of deposition temperature (room temperature, 300°C) and the O2 content (25–100%) in the sputtering gas. Additionally, the stresses in these films were determined as a function of annealing temperature (up to 600°C) using an in-situ curvature measurement technique. The as-deposited films were found to be under a state of compressive stress for all deposition conditions. The compressive stresses sharply increased with increasing deposition temperature from a value of around 200 MPa at 200°C to 1400 MPa at 300°C. This dramatic increase has been attributed to differences in microstructure at these deposition temperatures. The microstructural differences also led to the widely differing stress-temperature behavior during annealing of these films. For films deposited at temperatures lower than 200°C, the annealing process resulted in a decrease in the compressive stress and resistivity of the films. However, films deposited at a temperature of 300°C did not show any changes in the compressive stress or resistivity after annealing. The results of this study can be used to deposit RuOx thin films with low resistivity and minimal stresses.  相似文献   

11.
Stress evolution during reactive magnetron sputtering of binary TiN, ZrN and TaN thin films as well as ternary Ti-Zr-N and Ti-Ta-N solid-solutions was studied using real-time wafer curvature measurements. The energy of the incoming particles (sputtered atoms, backscattered Ar, ions) was tuned by changing either the metal target (MTi = 47.9, MZr = 91.2 and MTa = 180.9 g/mol), the plasma conditions (effect of pressure, substrate bias or magnetron configuration) for a given target or by combining different metal targets during co-sputtering. Experimental results were discussed using the average energy of the incoming species, as calculated using Monte-Carlo simulations (SRIM code). In the early stage of growth, a rapid evolution to compressive stress states is noticed for all films. A reversal towards tensile stress is observed with increasing thickness at low energetic deposition conditions, revealing the presence of stress gradients. The tensile stress is ascribed to the development of a ‘zone T’ columnar growth with intercolumnar voids and rough surface. At higher energetic deposition conditions, the atomic peening mechanism is predominant: the stress remains largely compressive and dense films with more globular microstructure and smooth surface are obtained.  相似文献   

12.
The high temperature behaviour of Pt/Ti base electrode bilayers is crucial for the deposition of ferro-electric thin films on top of such metal films. Therefore the microstructural development during annealing has to be considered. These parameters are studied by X-ray diffraction (XRD). Comparing the microstructure before and after thermal treatment significant differences could be found. Pt3Ti compound formation was observed in all coatings. The stress in the Pt phase changes from compressive to tensile during annealing. In contradiction the stress in the Pt3Ti phase is compressive after thermal treatment. From measurements of the total reflected signal an amount of TiO2 on the surface of the oxygen treated film could be found. A model based on diffusion of Ti along grain boundaries and considering thermodynamic aspects of stress development is predicted to describe the microstructural changes during annealing.  相似文献   

13.
In this work, the failure modes of thin films under thermo-mechanical treatments were observed via in-situ white beam X-ray topography. The in-situ experiments were carried out using an experimental setup on Beamline 2-2 at the Stanford Synchrotron Radiation Laboratory. Magnetron sputtered polycrystalline thin films of Ta and CrN on Si substrates were selected for the present study due to their disparate states of intrinsic residual stresses: the Ta film was anisotropically compressive and the CrN film was isotropically tensile. Under a similar heating-cooling cycle in air, the two types of films exhibited distinct failure modes, which were observed in-situ and in a quasi-real-time fashion. The failures of the samples have been interpreted based on their distinctive growth stress states, superimposed on the additional stress development associated with different forms of thermal instabilities upon heating. These included the formation of oxide for the Ta/Si sample, which led to an increase in compressive stress, and a phase change for the CrN/Si sample, which caused the isotropic stress in the film to become increasingly tensile.  相似文献   

14.
The crystal orientation and residual stress of AlN thin films were investigated using X-ray diffraction and substrate curvature method. The AlN films were deposited on Si(100) by RF magnetron sputtering in a mixed plasma of argon and nitrogen under various substrate negative bias Vs (up to − 100 V) and deposition temperature Ts up to 800 °C. The results show that lower temperature and moderate bias favor the formation of (002) plane parallel to the substrate surface. On the contrary, strong biasing beyond − 75 V and deposition temperature higher than 400 °C lead to the growth of (100) plane. At the same time nanoindentation hardness and compressive stress measured by substrate curvature method showed significant enhancement with substrate bias and temperature. The biased samples develop compressive stress while unbiased samples exhibit tensile or compressive stress depending on plasma power and temperature. The relationships between deposition conditions and crystallographic orientation of the films are discussed in terms of surface energy minimization and ion bombardment effects.  相似文献   

15.
Titanium nitride (TiN) films, with thickness ranging from 0.02 µm to 1.9 µm, were grown by reactive unbalanced magnetron sputter deposition on silicon substrates. The average film stress is highly compressive in thin films and less compressive in thicker films.Two films, with thicknesses of 0.53 µm and 1.63 µm, were subjected to detailed X-ray diffraction (XRD) analysis. Sin2Ψ analysis was performed, both on films attached to the substrate, as well as on free-standing flakes of the film. The flakes were obtained by dissolving the substrate. Sin2Ψ analysis, both on the films attached to the substrate as well as on the flakes, did not yield straight lines. By combining the sin2Ψ measurements on films attached to the substrate with the sin2Ψ measurements on the flakes we were able to distinguish between a residual deformation of the lattice and the deformation due to the biaxial stress. Following this procedure the stress obtained from wafer curvature and from XRD strain measurements coincides.A residual strain parallel to the growth direction of the crystallites with the <111> direction parallel to the growth direction combined with a changeover in film texture from <001> parallel to growth direction to <111> parallel to growth direction leads us to propose a model explaining the dependence of stress on film thickness in TiN thin films.  相似文献   

16.
GaN films were deposited on Si (400) wafers by a pulsed laser deposition technique, and it was shown that out-of-plane texture of the film is controllable although the film and the substrate do not have any interface epitaxy. The texture of the film can be set either in c-axis or a-axis direction, thereby achieving polar or nonpolar film surfaces as desired. The GaN film and Si substrate were found to be separated by a thin amorphous interface layer consisting of Si, Ga, and O atoms, that can enhance the bonding between GaN and Si. This study shows the possibility of depositing GaN films on Si wafers at low cost and the potential of integrating Si based electronics with GaN based optoelectronics.  相似文献   

17.
Residual stresses play a significant role in the mechanical reliability of thin films. Thus in this study, the mechanical properties and interface adhesion strengths of SiN thin films containing different residual stresses have been investigated by using nanoindentation and nanoscratch tests. With varied residual stresses from compressive to tensile, the penetration depth of nanoindentation tests shifted to a higher value. The hardness and elastic modulus decreased from 11.0 and 95 GPa, respectively, for the film containing a compressive stress of 235 MPa to 9.6 and 84 GPa for the film with a tensile stress of 86 MPa. With decreasing compressive stress and increasing tensile stress, the interface adhesion energy decreased from 1.8 to 1.5 J/m2. Compressive stresses were expected to blunt crack tips and inhibit crack propagation, while tensile stresses enlarged crack opening and facilitated crack propagation, thus changing the mechanical properties of the SiN thin films.  相似文献   

18.
The residual stress in ZrO2 thin films prepared by electron beam evaporation was measured by viewing the substrate deformation using an optical interferometer. The influences of deposition temperature and deposition rate on the residual stress have been studied. The results show that residual stress in ZrO2 thin films varies from tensile to compressive depending on deposition temperature and deposition rate, respectively. The value of compressive stress increases with the increasing of deposition temperature and deposition rate. At the same time, X-ray diffraction measurement was carried out in order to examine the crystallization behavior of the ZrO2 thin films as a function of deposition temperature and deposition rate. The relationship between the residual stress and the microstructure has also been discussed.  相似文献   

19.
利用脉冲激光沉积技术在蓝宝石衬底上生长不同厚度的VO2薄膜, 对薄膜的结构、表面形貌和光电性能进行研究。结果表明: 所沉积的VO2薄膜为具有单晶性能、表面平整的单斜晶相的VO2薄膜, 相变前后, 方块电阻的变化可达到3~4个数量级, 在波长为2500 nm的透过率变化最高可达56%, 优化的可视透过率(Tlum)和太阳能调节率( ∆Tsol )为43.2%和8.7%。薄膜受到的应力对VO2薄膜有重要影响, 可以通过调节薄膜的厚度对VO2薄膜光电性能实现调控。当VO2薄膜厚度较小时, 薄膜受到拉应力, 拉应力能使相变温度显著降低, 金属-绝缘体转变性能(MIT)不但与载流子浓度的变化相关, 而且还受载流子迁移率变化的影响;当VO2薄膜厚度较大时, 薄膜受到压应力, VO2薄膜的相变温度接近块体VO2的相变温度, MIT转变主要来自于载流子浓度在相变前后的变化, 其载流子迁移率几乎不变。  相似文献   

20.
The influences of stress on the interfacial reactions of Ti and Ni metal thin films on (0 0 1)Si have been investigated. Compressive stress present in the silicon substrate was found to retard significantly the growth of Ti and Ni silicide thin films. On the other hand, the tensile stress present in the silicon substrate was found to enhance the formation of Ti and Ni silicides. For Ti and Ni on stressed (0 0 1)Si substrates after rapid thermal annealing, the thicknesses of TiSi2 and NiSi films were found to decrease and increase with the compressive and tensile stress level, respectively. The results clearly indicated that the compressive stress hinders the interdiffusion of atoms through the metal/Si interface, so that the formation of metal silicide films was retarded. In contrast, tensile stress facilitates the interdiffusion of atoms. As a result, the growth of Ti and Ni silicide is promoted.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号