首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
We report the use of ammonia (NH(3)) vapor as a new precursor for nanoscale electron beam induced etching (EBIE) of carbon, and an efficient imaging medium for environmental scanning electron microscopy (ESEM). Etching is demonstrated using amorphous carbonaceous nanowires grown by electron beam induced deposition (EBID). It is ascribed to carbon volatilization by hydrogen radicals generated by electron dissociation of NH(3) adsorbates. The volatilization process is also effective at preventing the buildup of residual hydrocarbon impurities that often compromise EBIE, EBID and electron imaging. We also show that ammonia is a more efficient electron imaging medium than H(2)O, which up to now has been the most commonly used ESEM imaging gas.  相似文献   

2.
Electron beam induced etching (EBIE) and deposition (EBID) are promising fabrication techniques in which an electron beam is used to dissociate surface-adsorbed precursor molecules to achieve etching or deposition. Spatial resolution is normally limited by the electron flux distribution at the substrate surface. Here we present simultaneous EBIE and EBID (EBIED) as a method for surpassing this resolution limit by using adsorbate depletion to induce etching and deposition in adjacent regions within the electron flux profile. Our simulation results indicate the possibility of growth control of radially symmetric nanostructures at the sub-1 nm length scale on bulk substrates. The technique is well suited to the fabrication of ring-shaped nanostructures such as those employed in plasmonics, sensing devices, magneto-optics and magnetoelectronics.  相似文献   

3.
We have investigated the lithographic generation of TiO(x) nanostructures on Si(100) via electron-beam-induced deposition (EBID) of titanium tetraisopropoxide (TTIP) in ultra-high vacuum (UHV) by scanning electron microscopy (SEM) and local Auger electron spectroscopy (AES). In addition, the fabricated nanostructures were also characterized ex situ via atomic force microscopy (AFM) under ambient conditions. In EBID, a highly focused electron beam is used to locally decompose precursor molecules and thereby to generate a deposit. A drawback of this nanofabrication technique is the unintended deposition of material in the vicinity of the impact position of the primary electron beam due to so-called proximity effects. Herein, we present a post-treatment procedure to deplete the unintended deposits by moderate sputtering after the deposition process. Moreover, we were able to observe the formation of pure titanium oxide nanocrystals (<100 nm) in situ upon heating the sample in a well-defined oxygen atmosphere. While the nanocrystal growth for the as-deposited structures also occurs in the surroundings of the irradiated area due to proximity effects, it is limited to the pre-defined regions, if the sample was sputtered before heating the sample under oxygen atmosphere. The described two-step post-treatment procedure after EBID presents a new pathway for the fabrication of clean localized nanostructures.  相似文献   

4.
We report the fabrication of horizontally aligned ultrananocrystalline diamond (UNCD) nanowires (NWs) via two different approaches. First, with the top-down approach by using electron beam lithography (EBL) and reactive ion etching (RIE) with a photo resist layer as an etch mask. Using this approach, we demonstrate fabrication of 50?μm long UNCD NWs with widths as narrow as 40?nm. We further present an alternative approach to grow UNCD NWs at pre-defined positions through a selective seeding process. No RIE was needed either to etch the NWs or to remove the mask. In this case, we achieved UNCD NWs with lengths of 50?μm and smallest width of 90?nm respectively. Characterization of these nanowires by using scanning electron microscopy (SEM) and atomic force microscopy (AFM) shows that the UNCD NWs are well defined and fully released, with no indication of residual stress. Characterization using visible and ultraviolet (UV) Raman spectroscopy indicates that in both fabrication approaches, UNCD NWs maintain their intrinsic diamond structure.  相似文献   

5.
We demonstrate that electrochemical size reduction can be used for precisely controlled fabrication of silicon nanowires of widths approaching the 10 nm regime. The scheme can, in principle, be applied to wires defined by optical lithography but is here demonstrated for wires of approximately 100-200 nm width, defined by electron beam lithography. As for electrochemical etching of bulk silicon, the etching can be tuned both to the pore formation regime as well as to electropolishing. By in-situ optical and electrical characterization, the process can be halted at a certain nanowire width. Further electrical characterization shows a conductance decreasing faster than dimensional scaling would predict. As an explanation, we propose that charged surface states play a more pronounced role as the nanowire cross-sectional dimensions decrease.  相似文献   

6.
The generation of nanostructures with arbitrary shapes and well-defined chemical composition is still a challenge and targets the core of the fast-growing field of nanotechnology. One approach is the maskless nanofabrication technique of electron-beam-induced deposition (EBID). Up to now, the purity of these EBID structures has been rather poor. Here we demonstrate that by performing the EBID process solely under ultrahigh vacuum conditions, the lithographic generation of iron nanostructures on Si(100) with an unprecedented purity of higher than 95% is possible. One particular new aspect is the formation of EBID deposits with reduced size in a strain-induced diffusive process, resulting in deposits significantly smaller than 10 nm.  相似文献   

7.
Metal nanowires are one of the potential candidates for nanostructured sensing elements used in future portable devices for chemical detection; however, the optimal methods for fabrication have yet to be fully explored. Two routes to nanowire fabrication, electron-beam lithography (EBL) and focused ion beam (FIB) etching, are studied, and their electrical and chemical sensing properties are compared. Although nanowires fabricated by both techniques exhibit ohmic conductance, I-V characterization indicates that nanowires fabricated by FIB etching exhibit abnormally high resistivity. In addition, the resistivity of nanowires fabricated by FIB etching shows very low sensitivity toward molecular adsorption, while those fabricated by EBL exhibit sensitive resistance change upon exposure to solution-phase adsorbates. The mean grain sizes of nanowires prepared by FIB etching are much smaller than those fabricated by EBL, so their resistance is dominated by grain-boundary scattering. As a result, these nanowires are much less sensitive to molecular adsorption, which mediates nanowire conduction through surface scattering. The much reduced mean grain sizes of these nanowires correlate with Ga ion damage caused during the ion milling process. Thus, even though the nanowires prepared by FIB etching can be smaller than their EBL counterparts, their reduced sensitivity to adsorption suggests that nanowires produced by EBL are preferred for chemical and biochemical sensing applications.  相似文献   

8.
We demonstrate the gas-assisted focused-electron-beam (FEB)-induced etching of GaAs with a resolution of 30 nm at room temperature. We use a scanning electron microscope (SEM) in a dual beam focused ion beam together with xenon difluoride (XeF(2)) that can be injected by a needle directly onto the sample surface. We show that the FEB-induced etching with XeF(2) as a precursor gas results in isotropic and smooth etching of GaAs, while the etch rate depends strongly on the beam current and the electron energy. The natural oxide of GaAs at the sample surface inhibits the etching process; hence, oxide removal in combination with chemical surface passivation is necessary as a strategy to enable this high-resolution etching alternative for GaAs.  相似文献   

9.
In this paper, we demonstrate the top-down fabrication of vertical silicon nanowires networks with an ultra high density (4 x 10(10) cm(-2)), a yield of 100%, and a precise control of both diameter and location. Firstly, dense and well-defined networks of nanopillars have been patterned by e-beam lithography using a negative tone e-beam resist Hydrogen SylsesQuioxane (HSQ). A very high contrast has been obtained using a high acceleration voltage (100 kV), very small beam size at a current of 100 pA and a concentrated developer, 25% Tetramethylammonium Hydroxide. The patterns were transferred by reactive ion etching. Using chlorine based plasma chemistry and low pressure, etching anisotropy was guaranteed while avoiding the so-called 'grass effect'. This approach enabled the production of vertical silicon nanowires networks with a 20 nm diameter and a pitch of 30 nm. Lastly, the self-limited oxidation phenomenon in 1D structure has been used to perfectly control the shrinking of NWs and to obtain a Si surface free of defects induced by reactive ion etching. The silicon nanowires networks have been tapered by wet oxidation (850 degrees C) down to a diameter of 10 nm with a high aspect ratio 11.  相似文献   

10.
Electron beam induced deposition (EBID) is a versatile method for the controlled fabrication of conducting, semi-conducting and non-conducting structures down to the nanometer scale. In contrast to ion beam induced deposition, EBID processes are free of sputter effects, ion implantation and massive heat generation; however, they have much lower deposition rates. To push the deposition efficiency further towards its intrinsic limits, the individual influences of the process parameters have to be explored. In this work a platinum pre-cursor is used for the deposition of conducting nanorods on highly oriented pyrolytic graphite. The study shows the influence of a beam defocus during deposition on the volume growth rates. The temporal evolution of volume growth rates reveals a distinct maximum which is dependent on the defocus introduced, leading to an increase of deposited volumes by a factor 2.5 after the same deposition times. The observed maximum is explained by an increasing and saturating electron yield contributing to the final deposition process and constantly decreasing diffusion abilities of the pre-cursor molecules toward the tip of the nanorods, which is further supported by dwell time experiments.  相似文献   

11.
We report on an efficient strategy for the fabrication of an ultra-long suspended nanowire mesh suitable for nanodevice architectures on a polymer surface. First, nickel nanowires are synthesized directly on a template substrate by magnetron sputtering. Laser interference lithography followed by deep reactive ion etching is used to create the nanograted template substrate constituted of one-dimensional line pattern arrays of 240 nm in periodicity. Ordered alignment of ultra-long nanowires (~180 nm in diameter) with high fidelity to the template pattern is observed by scanning electron microscopy. The transfer of the pre-defined parallel nanowire array from the template surface to a target polymer substrate for electrical characterization of the system is demonstrated. The electrical behaviour of the nanowire mesh, suspended between two electrodes, was found to be linear, stable, and reproducible. This result suggests that this nanofabrication process will open an efficient way to the design and construction of novel nanodevices.  相似文献   

12.
We report on the fabrication of WO3 nanowires on Si (100) substrate using nickel catalyzed electron beam assisted rapid thermal annealing process. A 7 nm thick W layer deposited on the nickel coated substrate was annealed under high vacuum using electron beam (3 keV) for 30, 60 and 90 s. The nickel activates the growth of tungsten nanowires with a high aspect ratio and subsequently is oxidized due to the high refractory nature of tungsten under exposure to oxygen gas. The resulting changes in surface morphology, oxidization state and elemental composition of WO3 nanowires were investigated systematically. The oxidization of metallic tungsten nanowire was found to depend on the annealing time.  相似文献   

13.
We report the writing of very high resolution tungsten containing dots in regular arrays by electron beam-induced deposition (EBID). The size averaged over 100 dots was 1.0 nm at fwhm. Because of the statistical spread in the dot size, large and small dots are present in the arrays, with the smallest having a diameter of only 0.7 nm at fwhm. To date these are the smallest features fabricated by EBID. We have also fabricated lines with the smallest having a width at fwhm of 1.9 nm and a spacing of 3.2 nm.  相似文献   

14.
Wafer scale (cm(2)) arrays and networks of nanochannels were created in polydimethylsiloxane (PDMS) from a surface pattern of electrodeposited gold nanowires in a master-replica process and characterized with scanning electron microscopy (SEM), atomic force microscopy (AFM), and fluorescence imaging measurements. Patterns of gold nanowires with cross-sectional dimensions as small as 50 nm in height and 100 nm in width were prepared on silica substrates using the process of lithographically patterned nanowire electrodeposition (LPNE). These nanowire patterns were then employed as masters for the fabrication of inverse replica nanochannels in a special formulation of PDMS. SEM and AFM measurements verified a linear correlation between the widths and heights of the nanowires and nanochannels over a range of 50 to 500 nm. The PDMS replica was then oxygen plasma-bonded to a glass substrate in order to create a linear array of nanofluidic channels (up to 1 mm in length) filled with solutions of either fluorescent dye or 20 nm diameter fluorescent polymer nanoparticles. Nanochannel continuity and a 99% fill success rate was determined from the fluorescence imaging measurements, and the electrophoretic injection of both dye and nanoparticles in the nanochannel arrays was also demonstrated. Employing a double LPNE fabrication method, this master-replica process was also used to create a large two-dimensional network of crossed nanofluidic channels.  相似文献   

15.
It is of great interest and importance to develop new nanofabrication processes to fabricate sub-20 nm structures with sub-2 nm resolution for next-generation nanoelectronic devices. A combination of electron beam lithography (EBL) and a molecular ruler is one of the promising methods to make these fine structures. Here we successfully develop a hybrid method to fabricate sub-20 nm nanogap devices at the desired positions with a complex structure by developing a post-EBL process, which enabled us to avoid damaging the molecular ruler with the high-energy electron beam, and to fully utilize the EBL resolution. It was found that slight etching of the Ti adhesion layer of the parent metal (Pt) by ACT935J solution assisted the removal of molecular rulers, resulting in improved enhancement in the product yield (over 70%) of nanogap devices.  相似文献   

16.
We studied the thermally induced phase transformations of electron-beam-induced deposited (EBID) amorphous carbon nanostructures by correlating the changes in its morphology with internal microstructure by using combined atomic force microscopy (AFM) and high resolution confocal Raman microscopy. These carbon deposits can be used to create heterogeneous junctions in electronic devices commonly known as carbon-metal interconnects. We compared two basic shapes of EBID deposits: dots/pillars with widths from 50 to 600 nm and heights from 50 to 500 nm and lines with variable heights from 10 to 150 nm but having a constant length of 6 μm. We observed that during thermal annealing, the nanoscale amorphous deposits go through multistage transformation including dehydration and stress-relaxation around 150 °C, dehydrogenation within 150-300 °C, followed by graphitization (>350 °C) and formation of nanocrystalline, highly densified graphitic deposits around 450 °C. The later stage of transformation occurs well below commonly observed graphitization for bulk carbon (600-800 °C). It was observed that the shape of the deposits contribute significantly to the phase transformations. We suggested that this difference is controlled by different contributions from interfacial footprints area. Moreover, the rate of graphitization was different for deposits of different shapes with the lines showing a much stronger dependence of its structure on the density than the dots.  相似文献   

17.
Fresnel zone plates (FZPs) for soft X-ray microscopy with an energy range of 284 eV to 540 eV are designed and fabricated in a simple method. An adequate aspect ratio of the resist mold for electroplating was obtained by the proximity effect correction technology for an incident electron beam on a single thick layer resist. Without additional complicated reactive ion etching, a sufficient electro plating mold for nickel structures was fabricated. The overall fabrication procedures which involve a mix-and-match overlay technique for electron beam lithography and an optic exposure system that centers the membrane on the nanostructures, and hybrid silicon etching technology in junction with deep anisotropy and a KOH wet method in order to release the backside Si substrates of the Si3N4 membranes with no deformation of FZPs are introduced. High quality nanostructures with minimum outermost zone widths of 50 nm and diameters of 120 microm were fabricated with simplified fabrication process and with cost-effective.  相似文献   

18.
Integrated freestanding single-crystal silicon nanowires with typical dimension of 100 nm × 100 nm × 5 μm are fabricated by conventional 1:1 optical lithography and wet chemical silicon etching. The fabrication procedure can lead to wafer-scale integration of silicon nanowires in arrays. The measured electrical transport characteristics of the silicon nanowires covered with/without SiO(2) support a model of Fermi level pinning near the conduction band. The I-V curves of the nanowires reveal a current carrier polarity reversal depending on Si-SiO(2) and Si-H bonds on the nanowire surfaces.  相似文献   

19.
Electron beam induced deposition (EBID) has recently been developed into a method to directly write optically active three-dimensional nanostructures. For this purpose a metal-organic precursor gas (here dimethyl-gold(III)-acetylacetonate) is introduced into the vacuum chamber of a scanning electron microscope where it is cracked by the focused electron beam. Upon cracking the aforementioned precursor gas, 3D deposits are realized, consisting of gold nanocrystals embedded in a carbonaceous matrix. The carbon content in the deposits hinders direct plasmonic applications. However, it is possible to activate the deposited nanostructures for plasmonics by coating the EBID structures with a continuous silver layer of a few nanometers thickness. Within this silver layer collective motions of the free electron gas can be excited. In this way, EBID structures with their intriguing precision at the nanoscale have been arranged in arrays of free-standing dimer antenna structures with nanometer sized gaps between the antennas that face each other with an angle of 90°. These dimer antenna ensembles can constitute a reproducibly manufacturable substrate for exploiting the surface enhanced Raman effect (SERS). The achieved SERS enhancement factors are of the order of 10? for the incident laser light polarized along the dimer axes. To prove the signal enhancement in a Raman experiment we used the dye methyl violet as a robust test molecule. In future applications the thickness of such a silver layer on the dimer antennas can easily be varied for tuning the plasmonic resonances of the SERS substrate to match the resonance structure of the analytes to be detected.  相似文献   

20.
Wang H  Jin Z  Zheng Y  Ma H  Li T  Wang Y 《Nanotechnology》2008,19(17):175307
Boron is selectively implanted on the surface of an n-type silicon wafer to form a p-type area surrounded by an n-type area. The wafer is then put into a buffered oxide etch solution. It is found that the n-type area can be selectively etched without illumination, with an etching rate lower than 1?nm?min(-1), while the p-type area can be selectively etched under illumination with a much higher etching rate. The possible mechanism of the etching phenomenon is discussed. A simple fabrication process of silicon nanowires is proposed according to the above phenomenon. In this process only traditional micro-electromechanical system technology is used. Dimensions of the fabricated nanowire can be controlled well. A 50?nm wide and 50?nm thick silicon nanowire has been formed using this method.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号