首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
a-C:F thin films with varying fluorine content were prepared by plasma CVD and the sputtering method as inorganic alignment layers for overcoming the disadvantages of conventional liquid crystal (LC) alignment layers. The material and structural properties were investigated by X-ray photoelectron spectroscopy, Fourier transform infrared absorption, and contact angle measurement. For elucidation of the liquid crystal alignment layers, LC cells with a-C:F films were fabricated, followed by examination of the textures of the LC and electro-optical characteristics. The fluorine concentrations of a-C:F films were controlled by changing the mixture gas ratio (RG) in CVD and applied power ratio (RP) in the sputter system. An increase in RG and RP led to increase fluorine incorporation, and the film microstructure changed from a diamond-like to a polymer-like structure. In addition, the sputtered a-C:F films showed a higher fluorination than the CVD sample since the PTFE target was only composed of CF2 functional groups. Surface composition influenced the surface energy of thin films and an extremely hydrophobic property was obtained in the case of fluorine-rich a-C:F films. LC orientations were observed in various compositions of a-C:F films, and the vertically self-aligned LC textures confirmed that a sputtered a-C:F film is a good candidate for an alignment layer without any post-treatment.  相似文献   

2.
Mo-doped diamond-like carbon (Mo/DLC) films were deposited on stainless steel and Si wafer substrates via unbalanced magnetron sputtering of molybdenum combined with inductively coupled radio frequency (RF) plasma chemical vapor deposition of CH4/Ar. The effects of Mo doping and sputtering current on the microstructure and mechanical properties of the as-deposited films were investigated by means of X-ray photoelectron spectroscopy (XPS), X-ray diffraction (XRD), transmission electron microscopy (TEM), Raman spectroscopy, atomic force microscopy (AFM), and nano-indentation. It was found that Mo doping led to increase in the content of sp2 carbon, and hence decreased the hardness and elastic modulus of Mo/DLC films as compared with that of DLC films. The content of Mo in the films increased with the increasing sputtering current, and most of Mo reacted with C atoms to form MoC nanocrystallites at a higher sputtering current. Moreover, the Mo-doped DLC films had greatly decreased internal stress and increased adhesion to the substrate than the DLC film, which could be closely related to the unique nanocomposite structure of the Mo-doped films. Namely, the Mo/DLC film was composed of MoC nanoparticles embedded in the cross-linked amorphous carbon matrix, and such a kind of nanostructure was beneficial to retaining the loss of hardness and elastic modulus.  相似文献   

3.
A kind of rare earth oxide, CeO2, was doped into the diamond-like carbon (DLC) films with thickness of 180–200 nm, using unbalanced magnetron sputtering. All the adhesion strength of CeO2 doped DLC films is increased, while the residual compressive stress is obviously decreased compared to pure DLC film. Specially, the residual compressive stress of the deposited films are reduced by 90%, when the CeO2 content is in the range of 5–7%, from a value of about 4.1 GPa to 0.5 GPa. When the CeO2 content is increased to 10%, the deposited films possess the highest adhesion strength of 85 mN, 37% higher than that of pure DLC film. The nanohardness and elastic modulus exist a transition point at 8% of CeO2 content within the DLC film. Before this value, nanohardness and elastic modulus of CeO2 doped DLC films are lower than those of pure DLC film, and after this value, they are higher or adjacent to those of pure DLC film. Auger electron spectroscopy shows a more widened interface of 6% CeO2 doped DLC film compared to pure DLC film. The enhancement of adhesion strength is mainly attributed to the widening of the film-substrate interface, as well as the decrease of residual compressive stress.  相似文献   

4.
为解决手表外观件镀层的附着力和硬度不高而产生的膜层脱落和磨损露底等问题,采用阳极层流型气体离子源结合非平衡磁控溅射技术制备了类金刚石膜层,研究了镀前清洗工艺对膜层附着力和耐磨性能的影响.结果表明,所制备的类金刚石膜均匀亮黑,显微硬度为2 232 HV,摩擦系数为0.15.在同一镀膜工艺条件下,手表外观件经彻底清洗后,其...  相似文献   

5.
The hydrogen-free diamond-like carbon (DLC) films are potential materials to be used as infrared anti-reflection protective coatings if their optical absorption can be reduced to get relatively thick films needed. In this study, hydrogen-free DLC films were deposited by the physical vapor deposition (PVD) method in an unbalanced magnetron sputtering (UBMS) system with a rectangle graphite target of 440 × 80 mm in the argon atmosphere. The UBMS system was described in detail and the magnetron field distribution of the target was denoted in this work. The film thickness uniformity was investigated and the results showed that this system is capable of depositing uniform films larger than 150 mm in diameter. The infrared transmission spectra of DLC films were analyzed by a FTIR spectrometer, the results indicating that transparent films were obtained in the infrared region for the single side DLC coated on the silicon and germanium substrates, and about 68.83% and 63.05% transmittance were achieved respectively at the wave number of 2983 /cm, close to theoretical value for non-absorption carbon material. No obvious absorption peaks were found between 5000 and 800 /cm. The refractive index and extinction coefficient of the DLC films deposited under optimized conditions were about 2.08 and 0.067 respectively at the wavelength of 1600 nm. These important optical characteristics showed that the hydrogen-free DLC films prepared in the UBMS system were suitable for infrared transmission enhancement applications.  相似文献   

6.
刘敏  王继刚 《化工时刊》2008,22(6):11-14
使用磁控溅射法在生物医用NiTi合金基体表面制备了Ti/TiN、Ti/DLC以及Ti/CNx梯度薄膜,利用扫描电镜研究了薄膜的截面形貌,并使用划痕仪及摩擦磨损仪研究比较了薄膜的力学性能。结果表明:薄膜均表面平整,与基底结合紧密。Ti/CNx薄膜与NiTi合金基底的结合力大于Ti/DLC薄膜,略低于Ti/TiN薄膜。3种梯度薄膜均能有效改善NiTi合金的耐磨损性能,其中,Ti/CNx薄膜拥有最低的摩擦系数和最完整的磨损表面,耐磨性最好。  相似文献   

7.
Carbon nitride (CNx) film, diamond-like carbon (DLC) film, and titanium nitride (TiN) film were deposited on biomedical NiTi alloy substrates using direct current magnetron sputtering, respectively. In order to improve the adhesive strength between the deposited hard film and the NiTi alloy, a Ti transition layer was pre-deposited firstly. We emphatically evaluated the blood compatibilities of the NiTi alloy substrate and the deposited hard films by haemolysis test and platelet conglutination test. It was shown that the blood compatibilities of NiTi alloy can be improved effectively by the deposition of hard films. In comparison with TiN and DLC film, CNx film had the best surface modification effects covering the minimum haemolysis ratio and the best anticoagulation property.  相似文献   

8.
We have deposited boron- and/or nitrogen-incorporated DLC films by radio-frequency magnetron sputtering, and systematically investigated the structure and the mechanical and tribological properties. The N content in DLC films increased with increasing N2 flow ratio [N2/(Ar + N2)], and it tended to be saturated at higher N2 flow ratios. The N content further increased with an increase in the B content of the targets. The B/C ratios of the films were almost the same as those of the B-containing targets regardless of the N content. Scratch tests revealed that the adhesion strength of N-incorporated DLC films decreased with increasing N2 flow ratio and the critical loads of B-incorporated films were lower than that of an unincorporated film. It was found that for B, N-coincorporated films there was an optimum N2 flow ratio at which the critical load became a maximum value, which was higher than that of the unincorporated film. The optimum N2 flow ratio increased with an increase in the B composition of the targets. The N-incorporated films peeled off during ball-on-plate friction tests. On the other hand, the B, N-coincorporated films showed good wear-resistant properties that the specific wear rates were lower than those of the unincorporated and B-incorporated films.  相似文献   

9.
In the present study diamond like carbon films containing copper (DLC:Cu) were deposited by reactive magnetron sputtering. Direct current (DC) sputtering and high power pulsed magnetron sputtering (HIPIMS) were used. The influence of the composition and structure on piezoresistive properties of DLC:Cu films was investigated. Structure of DLC:Cu films was investigated by Raman scattering spectroscopy and transmission electron microscopy (TEM). Chemical composition of the films was studied by using energy-dispersive X-ray spectrometry (EDS) and X-ray photoelectron spectroscopy (XPS). Particularly analysis of XPS O1s spectra revealed oxidation of Cu nanoparticles. Piezoresistive gauge factor of DLC:Cu films was in 3–6 range and decreased with the increase of copper atomic concentration. Tendency of the decrease of the gauge factor of DLC:Cu films with the increased D/G peak area ratio (decreased sp3/sp2 carbon bond ratio) was observed. It was found that resistance (R) of DLC:Cu films decreased with the increase of Cu atomic concentration by logarithmic law. It is shown that a quasilinear increase of piezoresistive gauge factor with log(R) is in good accordance with percolation theory. Temperature coefficient of resistance (TCR) of DLC:Cu films was negative and decreased with copper amount in Cu atomic concentrations ranging up to ~ 40%. Very low TCR values (zero TCR) were observed only for DLC:Cu films with low gauge factor that was close to the gauge factor of the metallic strain gauges. Role of some possible mechanisms: copper amount as well as Cu cluster size on the value of gauge factor is discussed.  相似文献   

10.
Simultaneous UV-laser irradiation during the deposition of DLC films has been found to significantly influence the growth process and to favourably modify the film properties. The influence of the spectral and energetic parameters of laser radiation was investigated with respect to the optical, structural and mechanical properties of DLC films. Detailed investigations on the mechanism of laser-induced structural transformations in DLC films are presented, as studied by Raman spectroscopy. Further, the characteristic peak for the nanocrystalline diamond phase at 1140 cm−1 was evident for irradiated films. Noteworthy is the increase in film microhardness with increasing energy of the deposited carbon ions with a simultaneous reduction in internal stresses, caused by photolytically induced modification of the film structure by UV-laser radiation. As a result, hard (up to 30 GPa) and thick (up to 3 μm) defect-free DLC films without cracks have been synthesized.  相似文献   

11.
The relationship between metal-induced (W, Mo, Nb and Ti) structures and the surface properties of Me–DLC thin films is discussed. Nanocomposite films were deposited on c–Si wafers by pulsed-DC reactive magnetron sputtering controlling the gas ratio CH4/Ar. The sputtering process of metals such as Ti, Nb and Mo (unlike the tungsten) in the presence of methane shows a low reactivity at low methane concentration. The deposition rate and the spatial distribution of sputtered material depend of Z-ratio of each metal. The surface contamination of metal targets by carbon, owing to methane dilution, limits the incorporation of metals into DLC films according to an exponential decay. Results of electron probe microanalysis and X-ray photoelectron spectroscopy indicate a C rich Me/C composition ratio for low relative methane flows. According to the depth profile by secondary ion mass spectrometry, the films are systematically homogeneous in depth, whereas at high carbon contents they exhibit a metal-rich interfacial layer on the substrate. Moreover, high resolution transmission electron microscopy has evidenced important structural modifications with respect to DLC standard films, with marked differences for each Me/C combination, providing nanodendritic, nanocrystallized or multilayered structures. These particular nanostructures favour the stress decrease and induce significant changes in the tribological characteristics of the films. This study shows the possibilities of controlling the amorphous carbon films structure and surface properties by introducing metal in the DLC matrix.  相似文献   

12.
A recently suggested method to measure the elastic modulus of diamond-like carbon (DLC) films was reviewed. This method used a DLC bridge or free overhang which is free from the mechanical constraint of the substrate. Because of the high residual compressive stress of the DLC film, the bridge or the overhang exhibited a sinusoidal displacement on removing the mechanical constraint. Measuring the amplitude and wavelength of the sinusoidal displacement made it possible to measure the strain of the film which occurred by stress relaxation. Combined with independent stress measurement using the laser reflection method, this method allowed the calculation of the biaxial elastic modulus of the DLC film. This method was successfully applied to obtain the elastic properties of various DLC films from polymeric hydrogenated amorphous carbon (a-C:H) to hard tetrahedral amorphous carbon (ta-C) films. Since the substrate is completely removed from the measurement system, this method is insensitive to the mechanical properties of substrate. The mechanical properties of very thin DLC films could be thus measured and then can reveal the structural evolution of a-C:H films during the initial stages of deposition.  相似文献   

13.
Polyimide films were used for liquid crystal (LC) alignment layers to control LC pretilt angles over the full range (8°-90°). The pretilt angles could be controlled using polyimide films prepared from polyamic acid for vertical LC alignment and using polyimide blend films prepared from two types of polyamic acids, one for vertical LC alignment and the other for planar LC alignment, by changing the baking times ranging from 40 to 180 min at 230 °C. The polyimide blend film could control the pretilt angle better than the polyimide prepared from just one polymer component. The LC alignment behavior was well correlated with the wettability of the polyimide films due to the fragmentation of the long alkyl side group on the polyimide surfaces by the baking process.  相似文献   

14.
This article describes the characteristics of the etching process of diamond-like carbon (DLC) films using a new plasma system based on an oxygen plasma jet which comprises charged particles and activated neutral species in a range of energies and fluxes suitable for the etching process. This plasma source was used to etch DLC films which were grown on silicon substrates by magnetron sputtering technique. Prior to etching these films were characterized by different methods, namely Raman spectroscopy, Fourier transform infrared spectroscopy (FTIR), current×voltage curves and atomic force microscopy (AFM). Etch rates in the range 7.0–25 nm/min were measured for substrates placed at different positions along the axis of the plasma jet. An attractive feature observed in this work was the influence of an axial magnetic field applied to improve the confinement of the plasma stream. An increase by a factor of 3.4 in the etch rate was verified when the magnetic field increased from 2.5 to 6.0 mT. Raman spectra features (line shapes, frequencies and line width) of the etched films were compared with those obtained before etching. The results show that this plasma jet etching is a reliable technique for DLC film processing.  相似文献   

15.
In this paper, DLC multilayer films consisting of alternating layers of soft and hard carbon films were deposited on Si wafer by a plasma CVD deposition system. Different DLC multilayer films were prepared by varying the sub-layer thickness (from 1000 to 25 nm) and the ratio of hard to soft sub-layer (H/S) thickness (from 1:1 to 4:1). By using a ball-on-disk tribo-tester, the friction and wear properties of the DLC multilayer films were measured in vacuum, O2 and dry-air environments respectively. By comparing with single-layer DLC film, the change of the multilayer structure has little influence on friction coefficient of the multilayer films. However, the wear rate of the DLC multilayer films is restricted effectively by constructed the multilayer structure in the film. The wear rate of the multilayer films is lower than that of the single film in reactive (O2 and dry-air) environments. An DLC multilayer film with excellent wear resistance, approximately in the level of 10−8 mm3/Nm in different environments (dry-air, O2 and vacuum), is obtained as the DLC multilayer film at a certain sub-layer thickness and ratio.  相似文献   

16.
Diamond-like carbon (DLC) films have been the focus of extensive research in recent years due to its potential application as surface coatings on biomedical devices. Doped carbon films are also useful as biomaterials. As silver (Ag) is known to be a potent antibacterial agent, Ag–DLC films have been suggested to be potentially useful in biomedical applications. In this paper, DLC films were growth on 316L stainless steel substrates by using Plasma Enhanced Chemical Vapour Deposition (PECVD) technique with a thin amorphous silicon interlayer. Silver colloidal solution was produced by eletrodeposition of silver electrodes in distilled water and during the deposition process it was sprayed among each 25 nm thickness layer DLC film. The antibacterial activity of DLC, Ag–DLC and silver colloidal solution were evaluated by bacterial eradication tests with Escherichia coli (E. coli) at different incubation times. With the increase of silver nanoparticle layers in Ag–DLC, the total compressive stress decreased significantly. Raman spectra showed the film structure did not suffer any substantial change due to the incorporation of silver. The only alteration suffered was a slightly reduction in hardness. DLC and Ag–DLC films demonstrated good results against E. coli, meaning that DLC and Ag–DLC can be useful to produce coatings with antibacterial properties for biomedical industry.  相似文献   

17.
On account of their attractive properties, amorphous diamond-like carbon (DLC) films have been developed as resist materials for lithography and as hard coatings. In this paper, we investigate the etching properties of DLC films and the electrical properties of a pn junction fabricated using DLC films.Using a parallel-plate radio frequency plasma glow discharge, methane gas was decomposed for the deposition of the DLC films on a silicon substrate. Then oxygen was used to etch the films. Properties, such as the etching rate and the cross-sectional profile, were evaluated by atomic force microscopy (AFM). In order to produce the diode, DLC films were applied to resist materials as a part of the fabrication process.The etching rate of DLC films increases with decreasing oxygen pressure. We suspect that the high etching rate at low pressure from the negative bias voltage originates from the sputtering of accelerated ionic species. The bias voltage also increases with decreasing oxygen pressure. In order to estimate the shape of the etched edge, AFM images and cross-sectional profiles of etched DLC films were investigated as a function of oxygen pressure. At high pressure, isotropic etching by neutral radicals occurred, as the shape of the etched edge was not vertical. The top and bottom edges coincided vertically at low pressure because of the high bias voltage. The yield of excellent pn junctions fabricated using DLC films as resist materials was investigated as a function of deposition and etching pressure. From the results of the characteristics of the pn junction and the yield, for the integrated circuit fabrication process the optimum condition for both deposition and etching is at low pressure.  相似文献   

18.
The effects of thermal annealing and Si incorporation on the structure and properties of diamond-like carbon (DLC) films were investigated. As-deposited DLC film (DLC) and Si incorporated DLC film (Si-DLC), both with and without thermal annealing, were analyzed for bonding structure, residual stress, film thickness, elastic modulus and fracture properties using Raman spectroscopy, wafer curvature, nanoindentation, four-point bend fracture testing, and X-ray photoelectron spectroscopy (XPS). Raman spectroscopy clearly showed that thermal annealing of DLC films promotes more sp2 bonding character, whereas Si incorporation into the films promotes more sp3 bonding character. Interfacial fracture energies, film hardness and elastic modulus, and residual film stress were all found to vary strongly with the degree of sp3 bonding in the DLC film. These changes in mechanical properties are rationalized in terms of the degree of three dimensional inter-links within the atomic bond network.  相似文献   

19.
Seung Woo Lee  Moonhor Ree 《Polymer》2005,46(12):4068-4076
Films of poly(4-vinylpyridine) (P4VP) and poly(2-vinylpyridine) (P2VP) were characterized before and after they were rubbed with a rayon velvet, and their liquid crystal (LC) aligning abilities were investigated. Atomic force microscopy images showed that microgrooves developed along the rubbing direction in the surfaces of the rubbed films of both polymers. Retardation and linearly polarized infrared spectroscopy analyses revealed that in both polymers the vinyl backbones are oriented along the rubbing direction, while the pyridine side groups are oriented perpendicular to the rubbing direction; the para-directions of the pyridine rings in the P4VP film have a tilt angle of about 45° in the plane perpendicular to the rubbing direction but the para-directions of the pyridine rings in the P2VP film align nearly in the film surface. These rubbed films were found to induce uniform, homogeneous LC alignment along the rubbing direction. Both LC alignments were, however, found to have low anchoring energies that are due to the inherently weak interactions of the LCs with the film surfaces. Moreover, LC cells prepared using these films were found to have only limited stability. These results lead to the conclusion that the microgrooves generated along the rubbing direction play a critical role in governing the alignment of LCs that weakly interact with the parallel oriented vinyl main chains in competition with the perpendicularly oriented pyridine side groups, despite their dimensions, which are larger than the LC molecules and thus limit their effectiveness. In addition, the zero degree pre-tilting behavior of the LCs on these films was investigated in detail, taking into account both the rubbing-induced orientations of the polymer segments and their anisotropic interactions with the LC molecules.  相似文献   

20.
《Diamond and Related Materials》2000,9(9-10):1608-1611
Diamond-like carbon (DLC) films and nitrogen-doped DLC (N-DLC) films were deposited on a molybdenum-coated ceramic substrate using the pulsed laser deposition technique. The structure and surface morphology of the films were examined using X-ray diffraction, Raman spectroscopy, Auger electron spectroscopy and scanning electron microscopy. Field emission measurements were carried out, with the DLC or the N-DLC films as the cathode and ITO-coated glass as the anode. The field emission measurements indicated that the nitrogen doping could lower the turn-on field and increase the current density. It was believed that the interface at the molybdenum–N-DLC film plays an important role in improving the field emission performance of the N-DLC film.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号