首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 31 毫秒
1.
针对调度员培训系统的仿真要求,根据直流系统分层的特点建立了系统和极两层对象,在直流系统这一层次上建立了其接线方式的描述,用以控制直流系统电气方程的建立。可以实现对直流系统不同运行接线方式的模拟以及分层控制的模拟,基于动态潮流的原理对直流系统进行建模,考虑了高压直流的频率特性,实现了基于动态潮流技术的交直流联合系统的仿真,为调度人员提供了逼真的交直流联合输电系统的培训环境。  相似文献   

2.
针对高压直流输电系统(HVDC),提出了一种换流站的分散鲁棒自适应控制器的设计方法。设计中引入自适应非线性阻尼项来抑制系统非线性不确定参数和未知有界干扰的影响,同时采用反演设计方法来克服控制器设计的复杂性,最后获得高压直流输电系统换流站的分散鲁棒自适应控制策略的一般表达式,并提供了整个系统的稳定性证明。所得控制器仅利用本地测量量实现,控制策略具有分散性和适应性。通过NETOMAC数字仿真,仿真结果证明该控制器比常规的PI控制器具有更好的控制效果。  相似文献   

3.
基于PSASP的直流系统用户自定义建模   总被引:2,自引:0,他引:2  
针对大规模交直流电力系统机电暂态仿真时采用程序缺省的直流输电模型不能有效描述实际直流输电特性的问题,以实际直流系统为参考,利用PSASP用户自定义建模环境,采用注入功率法建立高压直流用户自定义模型。此自定义直流模型由潮流模型和稳定模型2部分组成,稳定模型涵盖实际直流控制器的主要控制功能模型以及变压器分接头控制、无功控制等辅助功能模型。以EPRI-7节点系统为例,研究在不同工作状态下自定义直流模型系统的特性,仿真结果证明了模型的合理性。  相似文献   

4.
在华中—华东多回高压直流(HVDC)输电系统上加装辅助功率/频率控制器具有显著的经济效益。首先阐述了HVDC功率调制的基本原理,然后给出了常规辅助功率/频率控制方案,并通过大规模数字仿真和动模试验验证了该方案的可行性。研究结果显示,加装了辅助功率/频率控制器的华中—华东多回HVDC系统能在两侧交流系统发生大扰动时,实现两侧系统间功率的相互紧急支援,显著改善两侧交流系统频率,并可在多回直流输电线路中实现功率的平稳转移,明显提高了整个华中、华东交直流系统的安全性和经济性。  相似文献   

5.
针对大规模交直流电力系统仿真计算中涉及到的几个相关问题进行了讨论。指出用于大规模交直流电力系统仿真计算的最有效的工具是带有用户自定义功能的交直流电力系统数字仿真程序,传统的动模试验和最新出现的实时数字仿真器并不适合于对大规模交直流电力系统进行系统研究。通过考察直流输电换流器准稳态模型导出的条件,阐明了直流输电换流器的准稳态模型在交流系统不对称故障期间是不适用的。论证了影响逆变器换相失败的因素有多个,重点研究了触发越前角、换流站交流母线电压跌落,直流电流增大以及换流变压器短路阻抗等因素对换相失败的影响。通过实例论证了交流系统故障时直流输电系统的动态响应特性,必须在仿真过程中通过对直流输电系统及其控制器的行为进行精确的仿真计算才能得出,凭经验事先估计直流输电系统在交流系统故障时的响应特性是不科学和不可靠的。  相似文献   

6.
针对高压直流输电系统,设计了一种鲁棒自适应输出反馈控制器。首先,将高压直流输电系统换流站动态模型转换成用输入-输出表示的非线性系统。然后,利用自适应非线性阻尼项来抑制系统的非线性动态不确定性和未知有界扰动,应用Lyapunov稳定性理论构造出控制器和自适应参数的表达式。Lyapunov稳定性分析结果表明,文中所提出的控制器保证了闭环系统的稳定性。最后,将设计的控制器应用到一个3机直流输电系统中,仿真结果表明,与传统的PI控制器相比较,文中控制器可大大提高系统的稳定性和鲁棒性。  相似文献   

7.
高压直流输电系统在一定条件下可能引发系统的次同步振荡。基于复数力矩系数原理与模糊免疫的方法设计了次同步阻尼控制器。该控制器检测到系统的次同步振荡信号后,能根据振荡情况对控制器参数进行自适应调整,整个控制器结构简单、稳定性强且易于工程实现。以2008年南方电网贵广Ⅱ直流系统为例,电磁暂态时域仿真结果表明了该方法应用于次同步阻尼控制器设计的有效性。  相似文献   

8.
以研究2010年南方电网动态特性为目的,提出了含±800 kV特高压直流的多馈入交直流系统动态特性仿真研究重点,解决了大规模多馈入交直流系统电磁暂态仿真时系统等值、模型处理以及仿真步骤等问题。依此运用PSCAD/EMTDC电磁暂态仿真软件,建立了包含±800 kV云广特高压直流等5条直流输电线路的电磁暂态仿真模型,研究中换流器模型采用详细模型。在此基础上研究了交直流系统各种故障下的动态特性,研究结果为南方电网规划及安全稳定措施的制定提供了理论依据。提出建立电磁暂态仿真模型,为研究大规模含特高压直流的多馈入交直流系统的动态特性提供了一套可行的方法。  相似文献   

9.
随着风力发电的快速发展,如何连接风电场和电网成为至关重要的问题。研究了基于双馈感应电机(DFIG)的风电场连接方式及控制策略,通过与交流输电和电流源型高压直流输电(CSC-HVDC)的比较,说明电压源型高压直流输电(VSC-HVDC)技术在连接风电场与电网方面具有独特优势。在换流站的 dq解耦控制基础上,对DFIG与VSC-HVDC的换流站的控制设计进行了研究。利用PSCAD/EMTDC平台对该方案进行了仿真研究。仿真结果表明,该方案可以实现一个换流站连接多台DFIG的结构,并具有良好的控制效果。  相似文献   

10.
介绍了2006年国际大电网会议(CIGRE)高压直流输电和电力电子专委会的专题报告。主要内容包括:新型高压直流输电与电力电子技术和工程、涉及高压直流输电与电力电子技术的工程问题、直流输电和灵活交流输电装置对提升系统性能的作用以及会议的热点技术问题。  相似文献   

11.
直流输电保护系统中的接地极过电压保护主要用于检测接地极断线故障,天广和高肇直流输电系统采用的接地极线路过电压保护中,检测到中性母线电压高于门槛值并满足延时条件后,便迅速合上高速接地开关———这一动作后果存在严重缺陷,在单极大地回线运行方式下将影响正常极的稳定运行,并很可能对换流站内设备和人身造成危害。文中结合直流输电系统接线方式分析了这一缺陷,并借助实时数字仿真(RTDS)系统和运行实例进行了验证,给出了改进建议,这有利于完善接地极保护功能,确保直流输电系统的安全稳定运行。  相似文献   

12.
研究了高压直流输电系统(HVDC)紧急功率支援控制的实现方法,提出了一种基于参数自适应模糊PID控制算法的HVDC紧急功率支援控制器,它可以根据两侧交流系统的运行情况、故障后的状态等信息,即时地决定最佳的支援功率值,并控制直流输电系统按照最佳支援功率值进行定值功率支援。该控制器基于确定模糊模型,构造模糊PID控制器,并引入确定性模糊调整规则对其进行参数自适应调整,整个控制器结构简单、稳定性强且实现容易。文中采用一个两区域系统对该控制器进行了时域仿真计算。仿真结果表明:投入该控制器后,交流系统在各种大干扰下的频率响应均表现出令人满意的特性。  相似文献   

13.
以提高多端电压源型直流输电系统的运行可靠性为目的,提出了基于直流电压 — 有功功率调节特性的多端直流输电系统控制策略。在系统负荷发生突变或任一换流站故障退出后,所有具备功率调节能力的换流站根据给定的调制方式在一定程度上分担系统功率的缺额,这样既维持了系统内的功率平衡,又避免了单个换流站承担功率过大的情况。最后通过数字仿真验证了所提出的控制策略设计的正确性和可行性。  相似文献   

14.
采用新型换流变压器及其感应滤波技术的直流输电系统在拓扑电路结构上不同于现有任何形式的直流输电联结方式。文中基于CIGRE直流输电标准测试系统模型及其主电路参数,根据新型换流变压器及其感应滤波系统的技术特点,设计了一套与标准测试系统主电路参数相一致的新型直流输电模型测试系统,并对新系统和传统系统在实际工程中所可能遭受到的各种典型扰动及其暂态响应特性进行了详细的仿真计算。仿真结果表明,采用直流输电标准控制模式的新型直流输电系统进一步提升了对直流输送功率的调节裕度,具有较好的暂态稳定性,在遭受各种典型扰动时均能够稳定、充裕地运行。  相似文献   

15.
柔性直流输电技术(VSC-HVDC)是新能源并网的一种重要手段,为了研究可再生能源经VSC-HVDC接入对大电网安全稳定运行的影响,本文建立了送端分别为光伏发电、水电和火电的三送端三受端的六端柔性直流输电仿真系统,对可再生能源经VSC-HVDC接入时的系统特性进行研究。送端中光伏并网系统为两极式并网结构,经LCL滤波电路接入柔性直流输电系统。选取了MPPT和双闭环控制算法后推导了LCL滤波电路的参数公式,在计算结果的基础上对多端柔性直流输电系统进行仿真。仿真结果表明在随机性较大的光伏发电接入后,六端柔性直流输电系统仍可稳定运行,验证了六端柔性直流输电系统仿真模型和参数计算的正确性,为大规模可再生能源并网的协调控制研究提供了仿真基础。  相似文献   

16.
无功管理是高压直流输电工程设计的重要组成部分,无功设备投切顺序正确与否直接关系到直流输电工程能否安全稳定运行。文中描述了无功设备的投切原则和高压直流输电无功管理需要考虑的因素,包括运行方式和限制条件,以及需要提供的原始数据。具体阐述了在考虑这些因素和采用所提供的原始数据下进行无功管理计算的方法,并编制了详细的计算流程。最后给出了 1个高压直流输电无功管理的工程实例,并验证了该流程的有效性。  相似文献   

17.
在分析±800 kV特高压直流输电线路区内外故障、雷击等暂态过程的基础上,提出了一种特高压直流输电线路暂态能量保护新原理。该原理根据各种暂态过程中线路两侧低频能量差值的故障特征,实现了区内故障及其故障极的快速准确识别。基于PSCAD/EMTDC的大量仿真验证,结果表明该保护原理简单、可靠、实用性强,具有绝对的选择性,不受雷击干扰、两极线路电磁耦合和换相失败的影响,高阻接地故障仍具有足够的灵敏性,能满足特高压直流线路对保护性能的要求,可在当前高压直流控制保护系统硬件条件下实现。  相似文献   

18.
将电压源型高压直流输电(VSC-HVDC)两端节点等效为两个有源节点,推导得到VSC-HVDC两端等效节点注入电流的表达式,从而可以方便地通过修改系统导纳矩阵,得到含VSC-HVDC系统的数学模型。在该模型的基础上,考虑VSC内部电流环和系统控制要求,提出了一种新的VSC-HVDC控制策略。以一个含VSC-HVDC的6机电力系统为例,仿真表明,采用该控制策略,在系统发生大扰动后,VSC-HVDC不仅能够迅速恢复正常运行,而且对系统电压和频率稳定没有太大影响。  相似文献   

19.
介绍使用实时数字仿真器RTDS对HVDC控制和保护系统进行测试。为获得高精度的阀触发脉冲和高频下的仿真性能,采用了先进的混合HVDC实时仿真器。其中,HVDC换流器采用传统的物理模拟装置,直流系统和并联的复杂交流系统均采用实时纯数字仿真,由RTDS仿真器实现。该混合实时仿真器被选择用于中国天-广HVDC工程。基于HVDC控制和保护系统的测试,文中给出了新的混合实时仿真器的结构实现方法和性能校验结果。与EMTDC计算机仿真结果比较,HVDC控制和保护系统的性能得到了校验。  相似文献   

20.
谐波治理是高压直流(HVDC)输电的关键技术之一。文中分析了应用在HVDC直流侧的有源直流滤波器的工作原理,从跟踪控制的角度出发,选取谐波源电流作为检测对象,把补偿电流作为反馈信号,构建了基于近似逆系统控制的复合控制;在控制器实现中,提出了以滤波器组构建近似逆系统的方法。PSCAD仿真和样机实验结果验证,因避开了弱信号提取问题,加之近似逆系统控制的快速稳定性,有源直流滤波器系统稳定、滤波效果优良,为下一步工业实施奠定了基础。  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号