首页 | 本学科首页   官方微博 | 高级检索  
相似文献
 共查询到20条相似文献,搜索用时 15 毫秒
1.
The design of an electron beam artwork camera that records integrated-circuit patterns directly from computer circuit, design programs is discussed. The system produces artwork patterns covering a 1- by 1-in area with line edge definition of 0.5 µm and with capability for producing patterns at 3, 5, or 10 times scale. The electron beam pattern-recording is achieved through the use of a novel electron optical element-the electron fly's eye lens-an array of small electron lenses, each with its own deflection system. The complete pattern is recorded by electronic control of the electron beam, hence no mechanical motion. The patterns are recorded faster than possible with mechanically stepped photo-optical artwork systems. Pattern-to-pattern registration is insured by the use of the fly's eye lens and computer control to the deflection voltages.  相似文献   

2.
Resolution, overlay, and field size limits for UV, X-ray, electron beam, and ion beam lithography are described. The following conclusions emerge in the discussion. 1) At 1-µm linewidth, contrast for optical projection can be higher than that for electron beam. 2) Optical cameras using mirror optics and deep UV radiation can potentially produce linewidths approaching 0.5 µm. 3) For the purpose of comparing the resolution of electron beam and optical exposure, it is useful to define the minimum linewidth as twice the linewidth at which the contrast of the exposure system has fallen to 30 percent. 4) X-ray lithography offers the highest contrast and resist aspect ratio for linewidths above about 0.1 µm, but for dimensions below 0.1 µm, highest aspect ratio is obtained with electron beam. 5) With electron beam exposure on a bulk sample, contrast for a 50-nm linewidth is the same as that for 1-µm linewidth, provided the resist is thin. Higher accelerating voltages make it easier to correct for proximity effects and to maintain resolution with thick resist. 6) Ultimately the range of secondary electrons limits resolution in electron beam lithography, just as the range of photoelectrons limits resolution in X-ray lithography. In both cases, minimum linewidth and spacing in dense patterns is about 20 nm. Resolution with ion beams will probably be about the same because the interaction range of the ions will be similar to the electrons.  相似文献   

3.
A demagnification gun of high resolution was developed for thermoplastic recording by deflecting the electron beam at the entry of a large focusing lens. The unconventional approach of prefocus deflection allows close spacing between the "short" focusing lens and the target (phosphor screen or thermoplastic tape) required for demagnification and results in a gun with a relatively short length. The diameter of the focusing lens is about three times larger than the 25-mm diameter area to be scanned in order to keep spot distortions of the deflected beam at a minimum. Electrostatic focusing combined with magnetic deflection was selected. The special focusing lens that is used is shaped for low aberrations, increased demagnification and increased deflection sensitivity. Small deflection fields are required since the electron beam is being deflected in the accelerating field while the electron velocities are still low. The gun operates at 10 kv and delivers a 5-micron spot at 1µa. This corresponds to a resolution of 5000 spot diameters at average current densities in excess of 5 amp/cm2. In spite of the high resolution, the over-all length of the gun measured from the target is only 9 inches.  相似文献   

4.
The exposure time of an X-ray lithography system is minimized by the appropriate choice of X-ray wavelength and target excitation voltage, within the constraints of a specified resolution and contrast in the exposed resist pattern. The factors that must be considered in making this choice are the X-ray source brightness of various target materials, the continuum emission spectrum of the target, the wavelength-dependent transmission of the X-ray mask and the vacuum window, and the wavelength-dependent absorption in the resist. The relative exposure time, as a function of wavelength, is predicted for a system using a 25-µm-thick beryllium window and PMMA resist with three choices of mask substrate: 12.5- µm-thick Mylar, 4.0-µm-thick silicon, and 8.5-µm-thick beryllium. A new mask substrate, 0.2-µm-thick aluminum oxide, is presented and shown to be suitable for exposure in vacuum with a 2.5-µm-thick aluminum filter at 13.3-Å wavelength (copper target). X-ray emission spectra from an aluminum target were measured at electron energies of 4.5, 7.9, 10.4, 12.5, 15.5, 19.5, and 28.5 keV. These spectra showed that the continuum radiation contributes little to the degradation of contrast with a gold-on-silicon X-ray mask. Thus a 20-kV electron beam may be used for maximum X-ray production efficiency.  相似文献   

5.
From a technical point of view, improvements of conventional color picture tubes could be regarded as having reached their limits. In this respect, we have found that the mask-focusing tube can achieve further improvements when combined with the black-matrix technique, a high-performance gun, dot screen structure, high-matrix transparency, and large gun electrode diameter. Two-fold improvements of brightness and contrast have been obtained with mask transparency twice that of conventional tubes, 22-percent higher matrix transparency, and mask-focusing. A 20-30 percent less deflection power has also been achieved with 110° deflection, neck diameter, and 1:2 mask-focusing voltage ratio. A 20-percent less spot diameter and a sharp beam distribution have been obtained by a new multistage-focusing electron gun in a delta arrangement in the 35.5-mm neck diameter.  相似文献   

6.
The new grating-type Au-n-type Si Schottky-barrier photodiode has been fabricated and analyzed. The device is constructed with a new structure of contact mask. The mask forms a grating of gold film over the contacting silicon substrate. The grating spacing is so chosen that even at zero bias the interfacial layer between the silicon substrate and the gold gratings is completely depleted. The device is fabricated on an n-type silicon wafer of ∼1300-Ω.cm resistivity, and the grating spacing is 12.5 µm. An analysis is performed to determine the I-V characteristics, the spectral dependence of the quantum yield, the responsivity, and the noise characteristics of the photodiode. It is found that without optimization the device has a bandwidth of ∼1 GHz and responsivity of 0.63 A/W at λ =0.9 µm.  相似文献   

7.
A combination of electron beam and ion beam techniques were used in conjunction with conventional planar technology to fabricate a junction field-effect microwave switch. A digital tape-controlled scanning electron beam was used to expose mask patterns in polymethyl methacrylate resist whose line widths (≤1 um) are inaccessible to conventional photolithography; ion beam sputtering was used to remove a thin gold undercoat from within the exposed patterns, thereby maintaining the good edge resolution; and ion implantation was used to dope the closely spaced interdigitated source and drain regions thus exposed by the preceding process steps in the gold contact mask.  相似文献   

8.
A structuring process is developed which enables the fabrication of gold patterns by electroplating with a minimum linewidth of ? 0.3 μm. These patterns are used as mask patterns for X-ray lithography. They can be up to 1.6 μm thick. For this purpose, a resist pattern, which is generated by an electron beam, is transmitted to a multi-layer system by reactive ion-beam etching. The multi-layer system consists of a 2 μm thick polyimide layer, a 70 nm thick aluminum intermediate layer and a 30 nm thick gold passivation layer.With this process, X-ray masks with a polyimide membrane were produced and utilized for exposure to synchrotron radiation.  相似文献   

9.
An electron beam exposure system (EBES) has proven to be practical and economic for generating high-quality fine-featured integrated circuit masks. It is also capable of exposing patterns directly on resist-coated silicon wafers and, when so used, is an effective tool with which to develop new semiconductor devices. EBES combines continuous translation of the mask or wafer substrate with periodic deflection of the electron beam in a raster-scan mode of exposure. Substrate position is monitored by means of laser interferometers. The strategy permits both the electronic and mechanical subsystems to work well within their limits of capability and contributes to system reliability. It also permits the system to be stepped up to higher resolution and faster exposure as brighter electron sources, more sensitive resist, and faster data processing techniques are developed.  相似文献   

10.
《Microelectronic Engineering》2007,84(5-8):841-844
CD and IP accuracy in electron beam (EB) character projection (CP) was examined. We measured around 1 nm as critical dimension (CD) 3 sigma of CP line patterns without major and minor deflection. In the case of VSB, the values exceed 2 nm. This gap is based on the difference in the beam formation method of CP and VSB. Root of the difference of these two squares of 3 sigma are from 1.69 to 2.53 nm and it is caused by variation of relative position between beam and CP mask aperture in using VSB. We measured 1.64 nm as CD 3 sigma of CP line patterns with major deflection within 1520 × 680 μm and minor deflection within 80 × 80 μm and analyzed the data into local factor: 1.38 nm, major deflection factor: 0.72 nm and minor deflection factor: 0.51 nm. It seemed that CP technology for CD accuracy had sufficient potential to 45 nm half-pitch system. Then we also measured image placement (IP) accuracy of CP (position, gain and rotation of CP as to changing deflection distance for CP selection, area of CP aperture and major and minor deflection distance). The variation in the position to CP selection was observed and is considered to be due to electric or mechanical vibration of system. For our future 45 nm half-pitch system, we plan to raise the performance of each unit and to lower this variation. The dependence to change of the parameter of the system was also observed: (A) change of the rotation to CP selection deflection distance, (B) change of gain and rotation to CP area and (C) change of gain and rotation to major and minor deflection distance. We could compensate by using biased mask for (A) and (B). We are going to cope with (C) by narrowing major deflection distance.  相似文献   

11.
Self-aligned normally-off silicon MESFET devices and circuits with minimum drawn gatelengths of 200 nm, and gate-to-source, and gate-to-drain spacings of 100 nm each, have been fabricated. The newly developed high-density process is based on electron beam direct writing and reactive ion etching. Ring oscillators with serial layout, a fanout of two, and drawn gatelength of 0.9 µm, show switching speeds of 220 ps at a power dissipation of 150 µW per gate.  相似文献   

12.
The use of AZ 1350 family photoresists as negative electron resists is described. Conventional photolithographic technology is used to coat and process the resist, with the exception of an e-beam exposure for patterning. A flood UV exposure is used for image reversal. Using 1.5 µm initial thickness, the exposure threshold for 6 s development in 1 : 1 AZ : H2O developer is 7 µC/cm2. The resist contrast under these conditions is 1.3; and the sensitivity is about 25 µC/cm2(70% thickness remaining). Useful resolution on SiO2/Si and Al/SiO2/Si substrates is demonstrated to be at least 0.5 µm, and the resist is shown to mask the plasma etching of Al.  相似文献   

13.
A microwave field-effect transistor with nonalloyed ohmic contacts is fabricated using the technique of regrowing a heavily doped region under the contact metallization by molecular beam epitaxy through a preliminarily formed dielectric mask. The fabricated field-effect transistor with a gate length of 0.18 µm and a total width of 100 µm has a current–amplification cutoff frequency of 66 GHz and ohmic contact resistivity of 0.15-0.18 Ω mm.  相似文献   

14.
When making a pattern for a solid-state device from a silicon wafer coated with photoresist by the scanning electron beam exposure technique, the positioning accuracy of the pattern is as important as its resolution and dimensional accuracy. A pattern positioning system automated by an electronic computer which is included in the electron beam exposure apparatus type JEBX-2B, is introduced and described. Information conveyed by backscattered electrons from the wafer surface is utilized as a signal which detects the wafer locus and automatically corrects any x-, y-directional and rotational errors. It is shown that the positioning accuracy is within 0.5 µm. It can be improved under some limited conditions.  相似文献   

15.
A novel nanopatterning process was developed by combining capillary force lithography (CFL) and microcontact printing (µCP). Flat polydimethylsiloxane (PDMS) was used as the substrate in CFL, and after chemical functionalization, as the stamp in µCP, which increased the resolution of both methods. The polymer patterns, produced by CFL on a thin polymer film on the flat PDMS substrate, acted as a mask to oxidize the uncovered regions of the PDMS. The chemical patterns were subsequently formed by gas phase evaporation of a fluorinated silane. After removal of the polymer, these stamps were used to transfer thiol inks to a gold substrate by µCP. Gold patterns at a scale of less than 100 nm were successfully replicated by these chemically patterned flat PDMS stamps.  相似文献   

16.
The basic structure of a monolithically Peltier-cooled laser (MPCL) diode has been fabricated. The process of forming the structure involves critical masking and etching processes. A reliable etching process that was capable of producing clean mesas 50 µm wide × 300 µm long and of a height greater than 150-µm was developed using buffered hydrofluoric acid. The mask used-with the buffered hydro-fluorice etchant was Shipley AZ1350B photoresist. This combination of the etchant and the mask process gave good results even when etching a grid pattern consisting of an array of 2-µm-wide lines to form mesas 3.74 µm high. It was found that the etch tends to follow the cleaved planes that intersect the  相似文献   

17.
A high luminance high-resolution cathode-ray tube for special purposes   总被引:2,自引:0,他引:2  
For those applications where light sources of high radiance or displays of high resolution are necessary, miniature cathode-ray tubes have been developed with monocrystalline luminescent screens. These newly developed screens consist of cerium-doped yttrium-aluminum garnet (YAG), epitaxially grown on commercially available YAG substrates; they have an excellent heat conductivity and are optically clear. The construction of the tube and its performance in two modes of operation are described. When the tube is operated with a continuous undeflected beam, the luminance of the spot is limited by thermal quenching of the phosphor material. Under these circumstances, the maximum luminance of 1.9 × 108cd/m2(0.55 × 108FTL or 4 × 105W/m2sr) is reached at a power of 70 mW in a 3.5-µA 20-kV beam, focussed to a spot of 9 µm diameter. Equipped with appropriate deflection coils and scanned with an interlaced field of 575 active lines and 25-Hz repetition frequency, the tube can handle up to 20 W of beam power in a 12 × 16 mm2image area. In this mode, the luminance is limited by the design of the electron gun and the desired resolution. At 20 kV and 100 µA (i.e., at 7500 cd/m2) the tube has a half-intensity linewidth of 60 µm, which is equivalent to 500-TV limiting response lines in the same 12 × 16 mm2area.  相似文献   

18.
An automatic system for inspecting micro mask defects with 1-µm minimum detectable size has been developed. An outline of the system is as follows: The pattern image obtained with a pickup tube is converted into binary video signals which are transferred into two parallel logic circuits for detecting pattern defects. One is based on the pattern-analyzing method, for which one of four algorithms for detecting micro defects is presented in detail. The other is based on the design-pattern data-comparing method, where the data compression scheme and a new idea for avoiding mask alignment errors are adopted. A software system outline, very important in assisting the hardware functions in this system, is also presented. The results of experiments for determining system performance indicate that the system can detect ≥1-µm diameter defects or loss patterns with high probability by complimentary use of the two methods. A 4-in by 4-in mask can be inspected within 100 rain.  相似文献   

19.
A 512 kbit read-only memory (ROM) to store Chinese ideographs has been fabricated using variable-shaped electron beam and dry-etching lithography. 1.0-µm minimum line width was used to delineate device area spacings smaller than those obtained with conventional design rules using photoimaging techniques. SiO2, Si3N4, and polysilicon etchings were accomplished by reactive sputter techniques with CF4+ H2and CCl3F gases using negative electron beam resist PGMA and positive resist AZ-2400. Al etching was carried out by plasma with CCl4gas using negative electron beam resist NER-1. The alignment marks detectability and their locating accuracy were improved by properly using the basis arithmetic operations, subtraction and summation, in backscatter signal processings. 6.6 mm × 8.9 mm chip-by-chip alignment yielded about 0.2-µm level-to-level registration accuracy. Memory cell size and chip size are 5.2 µm × 8.4 µm and 6.6 mm × 8.9 mm, respectively; access time and power dissipation are 400 ns and 800 mW, respectively.  相似文献   

20.
Submicrometer optical lithography is possible with conventional projection cameras when the mask controls the phase of the light at the object plane. Two-dimensional imaging simulations for the Mann 4800 projection camera show that the maximum spatial frequency for 60-percent contrast increases from 640 1/mm to 896 1/mm. The geometrical quality of the images of typical microcircuit patterns was shown to be acceptable for feature sizes of 0.7, 0.6, and 0.5 µ, respectively, and various parameters of the irradiance patterns were calculated. Exposures were made using a high-performance two-layer photoresist system and a mask containing patterns similar to those in the simulation. The phase-shifting mask was shown to increase exposure latitude and to produce a 95-percent yield of 833 1/mm (0.6 µ line and gap) patterns, whereas the transmission mask gave a 7-percent yield. Half micrometer features were patterned with a 22-percent yield using 0.436-µ light.  相似文献   

设为首页 | 免责声明 | 关于勤云 | 加入收藏

Copyright©北京勤云科技发展有限公司  京ICP备09084417号